toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author (up) Tchakoua, T.; Gerrits, N.; Smeets, E.W.F.; Kroes, G.-J. url  doi
openurl 
  Title SBH17 : benchmark database of barrier heights for dissociative chemisorption on transition metal surfaces Type A1 Journal article
  Year 2023 Publication Journal of chemical theory and computation Abbreviated Journal  
  Volume 19 Issue 1 Pages 245-270  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Accurate barriers for rate controlling elementary reactions on metal surfaces are key to understanding, controlling, and predicting the rate of heterogeneously catalyzed processes. While barrier heights for gas phase reactions have been extensively benchmarked, dissociative chemisorption barriers for the reactions of molecules on metal surfaces have received much less attention. The first database called SBH10 and containing 10 entries was recently constructed based on the specific reaction parameter approach to density functional theory (SRP-DFT) and experimental results. We have now constructed a new and improved database (SBH17) containing 17 entries based on SRP-DFT and experiments. For this new SBH17 benchmark study, we have tested three algorithms (high, medium, and light) for calculating barrier heights for dissociative chemisorption on metals, which we have named for the amount of computational effort involved in their use. We test the performance of 14 density functionals at the GGA, GGA+vdW-DF, and meta-GGA rungs. Our results show that, in contrast with the previous SBH10 study where the BEEF-vdW-DF2 functional seemed to be most accurate, the workhorse functional PBE and the MS2 density functional are the most accurate of the GGA and meta-GGA functionals tested. Of the GGA+vdW functionals tested, the SRP32-vdW-DF1 functional is the most accurate. Additionally, we found that the medium algorithm is accurate enough for assessing the performance of the density functionals tested, while it avoids geometry optimizations of minimum barrier geometries for each density functional tested. The medium algorithm does require metal lattice constants and interlayer distances that are optimized separately for each functional. While these are avoided in the light algorithm, this algorithm is found not to give a reliable description of functional performance. The combination of relative ease of use and demonstrated reliability of the medium algorithm will likely pave the way for incorporation of the SBH17 database in larger databases used for testing new density functionals and electronic structure methods.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000903286100001 Publication Date 2022-12-19  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1549-9618 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 5.5 Times cited Open Access OpenAccess  
  Notes Approved Most recent IF: 5.5; 2023 IF: 5.245  
  Call Number UA @ admin @ c:irua:193426 Serial 7274  
Permanent link to this record
 

 
Author (up) Tchakoua, T.; Powell, A.D.; Gerrits, N.; Somers, M.F.; Doblhoff-Dier, K.; Busnengo, H.F.; Kroes, G.-J. url  doi
openurl 
  Title Simulating highly activated sticking of H₂ on Al(110) : quantum versus quasi-classical dynamics Type A1 Journal article
  Year 2023 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal  
  Volume 127 Issue 11 Pages 5395-5407  
  Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We evaluate the importance of quantum effects on the sticking of H2 on Al(110) for conditions that are close to those of molecular beam experiments that have been done on this system. Calculations with the quasi-classical trajectory (QCT) method and with quantum dynamics (QD) are performed using a model in which only motion in the six molecular degrees of freedom is allowed. The potential energy surface used has a minimum barrier height close to the value recently obtained with the quantum Monte Carlo method. Monte Carlo averaging over the initial rovibrational states allowed the QD calculations to be done with an order of magnitude smaller computational expense. The sticking probability curve computed with QD is shifted to lower energies relative to the QCT curve by 0.21 to 0.05 kcal/mol, with the highest shift obtained for the lowest incidence energy. Quantum effects are therefore expected to play a small role in calculations that would evaluate the accuracy of electronic structure methods for determining the minimum barrier height to dissociative chemisorption for H2 + Al(110) on the basis of the standard procedure for comparing results of theory with molecular beam experiments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000971346700001 Publication Date 2023-03-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1932-7447; 1932-7455 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.7 Times cited Open Access OpenAccess  
  Notes Approved Most recent IF: 3.7; 2023 IF: 4.536  
  Call Number UA @ admin @ c:irua:196071 Serial 8525  
Permanent link to this record
 

 
Author (up) Tennyson, J.; Mohr, S.; Hanicinec, M.; Dzarasova, A.; Smith, C.; Waddington, S.; Liu, B.; Alves, L.L.; Bartschat, K.; Bogaerts, A.; Engelmann, S.U.; Gans, T.; Gibson, A.R.; Hamaguchi, S.; Hamilton, K.R.; Hill, C.; O’Connell, D.; Rauf, S.; van ’t Veer, K.; Zatsarinny, O. url  doi
openurl 
  Title The 2021 release of the Quantemol database (QDB) of plasma chemistries and reactions Type A1 Journal article
  Year 2022 Publication Plasma Sources Science & Technology Abbreviated Journal Plasma Sources Sci T  
  Volume 31 Issue 9 Pages 095020  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The Quantemol database (QDB) provides cross sections and rates of processes important for plasma models; heavy particle collisions (chemical reactions) and electron collision processes are considered. The current version of QDB has data on 28 917 processes between 2485 distinct species plus data for surface processes. These data are available via a web interface or can be delivered directly to plasma models using an application program interface; data are available in formats suitable for direct input into a variety of popular plasma modeling codes including HPEM, COMSOL, ChemKIN, CFD-ACE+, and VisGlow. QDB provides ready assembled plasma chemistries plus the ability to build bespoke chemistries. The database also provides a Boltzmann solver for electron dynamics and a zero-dimensional model. Thesedevelopments, use cases involving O<sub>2</sub>, Ar/NF<sub>3</sub>, Ar/NF<sub>3</sub>/O<sub>2</sub>, and He/H<sub>2</sub>O/O<sub>2</sub>chemistries, and plans for the future are presented.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000895762200001 Publication Date 2022-09-01  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252 ISBN Additional Links UA library record; WoS full record  
  Impact Factor 3.8 Times cited Open Access OpenAccess  
  Notes Engineering and Physical Sciences Research Council, EP/N509577/1 ; Fundação para a Ciência e a Tecnologia, UIDB/50010/2020 ; Science and Technology Facilities Council, ST/K004069/1 ; National Science Foundation, OAC-1834740 ; Approved Most recent IF: 3.8  
  Call Number PLASMANT @ plasmant @c:irua:192845 Serial 7245  
Permanent link to this record
 

 
Author (up) Tennyson, J.; Rahimi, S.; Hill, C.; Tse, L.; Vibhakar, A.; Akello-Egwel, D.; Brown, D.B.; Dzarasova, A.; Hamilton, J.R.; Jaksch, D.; Mohr, S.; Wren-Little, K.; Bruckmeier, J.; Agarwal, A.; Bartschat, K.; Bogaerts, A.; Booth, J.-P.; Goeckner, M.J.; Hassouni, K.; Itikawa, Y.; Braams, B.J.; Krishnakumar, E.; Laricchiuta, A.; Mason, N.J.; Pandey, S.; Petrovic, Z.L.; Pu, Y.-K.; Ranjan, A.; Rauf, S.; Schulze, J.; Turner, M.M.; Ventzek, P.; Whitehead, J.C.; Yoon, J.-S. url  doi
openurl 
  Title QDB: a new database of plasma chemistries and reactions Type A1 Journal article
  Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 26 Issue 26 Pages 055014  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract One of the most challenging and recurring problems when modeling plasmas is the lack of data on the key atomic and molecular reactions that drive plasma processes. Even when there are data for some reactions, complete and validated datasets of chemistries are rarely available. This hinders research on plasma processes and curbs development of industrial applications. The QDB project aims to address this problem by providing a platform for provision, exchange, and validation of chemistry datasets. A new data model developed for QDB is presented. QDB collates published data on both electron scattering and heavy-particle reactions. These data are formed into reaction sets, which are then validated against experimental data where possible. This process produces both complete chemistry sets and identifies key reactions that are currently unreported in the literature. Gaps in the datasets can be filled using established theoretical methods. Initial validated chemistry sets for SF6/CF4/O2 and SF6/CF4/N2/H2 are presented as examples.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000398394500001 Publication Date 2017-04-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 18 Open Access OpenAccess  
  Notes Approved Most recent IF: 3.302  
  Call Number PLASMANT @ plasmant @ c:irua:142206 Serial 4549  
Permanent link to this record
 

 
Author (up) Teodoru, S.; Kusano, Y.; Bogaerts, A. pdf  doi
openurl 
  Title The effect of O2 in a humid O2/N2/NOx gas mixture on NOx and N2O remediation by an atmospheric pressure dielectric barrier discharge Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 7 Pages 652-689  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A numerical model for NxOy remediation in humid air plasma produced with a dielectric barrier discharge at atmospheric pressure is presented. Special emphasis is given to NO2 and N2O reduction with the decrease of O2 content in the feedstock gas. A detailed reaction mechanism including electronic and ionic processes, as well as the contribution of radicals and excited atomic/molecular species is proposed. The temporal evolution of the densities of NO, NO2 and N2O species, and some other by-products, is analyzed, and the major pathways for the NxOy remediation are discussed for one pulse. Subsequently, simulations are presented for a multi-pulses case, where three O2 contents are tested for optimization of the remediation process. It is found that when the gas mixture O2/N2/H2O/NOx has no initial O2 content, the best NOx and N2O remediation is achieved.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000306279500005 Publication Date 2012-03-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 24 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:100920 Serial 842  
Permanent link to this record
 

 
Author (up) Tinck, S. openurl 
  Title Numerical simulations of inductively coupled plasmas for applications in the microelectronics industry Type Doctoral thesis
  Year 2011 Publication Abbreviated Journal  
  Volume Issue Pages  
  Keywords Doctoral thesis; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Antwerpen Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:92051 Serial 2406  
Permanent link to this record
 

 
Author (up) Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
  Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 11 Issue 1 Pages 52-62  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000330588800006 Publication Date 2013-11-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 1 Open Access  
  Notes Approved Most recent IF: 2.846; 2014 IF: 2.453  
  Call Number UA @ lucian @ c:irua:115735 Serial 1256  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A. doi  openurl
  Title Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 1 Pages 015008-015008,10  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, an O2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al2O3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O2, while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000286592200009 Publication Date 2011-01-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 11 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:85285 Serial 467  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 5 Pages 522-539  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000303858100010 Publication Date 2012-03-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:99127 Serial 2142  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 195203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, H2 + and H3 + are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a

higher fraction results in less chemical etching but more (physical) sputtering.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000375255500017 Publication Date 2016-04-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 5 Open Access  
  Notes We acknowledge the Fund for Scientific Research Flanders (FWO) for financial support of this work. This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:132890 Serial 4062  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Role of vibrationally excited HBr in a HBr/He inductively coupled plasma used for etching of silicon Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 245204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this work, the role of vibrationally excited HBr (HBr(vib)) is computationally investigated for a HBr/He inductively coupled plasma applied for Si etching. It is found that at least 50% of all dissociations of HBr occur through HBr(vib). This additional dissociation pathway through HBr(vib) makes the plasma significantly more atomic. It also results in a slightly higher electron temperature (i.e. about 0.2 eV higher compared to simulation results where HBr(vib) is not included), as well as a higher gas temperature (i.e. about 50 K higher than without including HBr(vib)), due to the enhanced Franck–Condon heating through HBr(vib) dissociation,

at the conditions investigated. Most importantly, the calculated etch rate with HBr(vib) included in the model is a factor 3 higher than in the case without HBr(vib), due to the higher fluxes of etching species (i.e. H and Br), while the chemical composition of the wafer surface shows no significant difference. Our calculations clearly show the importance of including HBr(vib) for accurate modeling of HBr-containing plasmas.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000377427100020 Publication Date 2016-05-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited Open Access  
  Notes The Fund for Scientific Research Flanders (FWO) is acknowledged for financial support of this work (Grant no. 0880.212.840). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Prof. Mark Kushner is also gratefully acknowledged for the useful discussions and for providing the HPEM code. Approved Most recent IF: 2.588  
  Call Number c:irua:133457 Serial 4072  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A.; Shamiryan, D. doi  openurl
  Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
  Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 8 Issue 6 Pages 490-499  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000292116800003 Publication Date 2011-03-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2011 IF: 2.468  
  Call Number UA @ lucian @ c:irua:90926 Serial 3014  
Permanent link to this record
 

 
Author (up) Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Investigation of etching and deposition processes of Cl2/O2/Ar inductively coupled plasmas on silicon by means of plasmasurface simulations and experiments Type A1 Journal article
  Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 42 Issue Pages 095204,1-095204,13  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, a simulation method is described to predict the etching behaviour of Cl2/O2/Ar inductively coupled plasmas on a Si substrate, as used in shallow trench isolation for the production of electronic devices. The hybrid plasma equipment model (HPEM) developed by Kushner et al is applied to calculate the plasma characteristics in the reactor chamber and two additional Monte Carlo simulations are performed to predict the fluxes, angles and energy of the plasma species bombarding the Si substrate, as well as the resulting surface processes such as etching and deposition. The simulations are performed for a wide variety of operating conditions such as gas composition, chamber pressure, power deposition and substrate bias. It is predicted by the simulations that when the fraction of oxygen in the gas mixture is too high, the oxidation of the Si substrate is superior to the etching of Si by chlorine species, resulting in an etch rate close to zero as is also observed in the experiments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000265531000030 Publication Date 2009-04-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 23 Open Access  
  Notes Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:75601 Serial 1731  
Permanent link to this record
 

 
Author (up) Tinck, S.; Boullart, W.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 4 Pages 045012-045012,19  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000295829800014 Publication Date 2011-06-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 22 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:91045 Serial 2141  
Permanent link to this record
 

 
Author (up) Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
  Year 2008 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 41 Issue 6 Pages 065207,1-14  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000254153900022 Publication Date 2008-02-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 31 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:67019 Serial 3010  
Permanent link to this record
 

 
Author (up) Tinck, S.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
  Year 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 10 Issue 8 Pages 714-730  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000327790000006 Publication Date 2013-05-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 3 Open Access  
  Notes Approved Most recent IF: 2.846; 2013 IF: 2.964  
  Call Number UA @ lucian @ c:irua:109900 Serial 2397  
Permanent link to this record
 

 
Author (up) Tinck, S.; Neyts, E.C.; Bogaerts, A. url  doi
openurl 
  Title Fluorinesilicon surface reactions during cryogenic and near room temperature etching Type A1 Journal article
  Year 2014 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal J Phys Chem C  
  Volume 118 Issue 51 Pages 30315-30324  
  Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cyrogenic etching of silicon is envisaged to enable better control over plasma processing in the microelectronics industry, albeit little is known about the fundamental differences compared to the room temperature process. We here present molecular dynamics simulations carried out to obtain sticking probabilities, thermal desorption rates, surface diffusion speeds, and sputter yields of F, F2, Si, SiF, SiF2, SiF3, SiF4, and the corresponding ions on Si(100) and on SiF13 surfaces, both at cryogenic and near room temperature. The different surface behavior during conventional etching and cryoetching is discussed. F2 is found to be relatively reactive compared to other species like SiF03. Thermal desorption occurs at a significantly lower rate under cryogenic conditions, which results in an accumulation of physisorbed species. Moreover, ion incorporation is often observed for ions with energies of 30400 eV, which results in a relatively low net sputter yield. The obtained results suggest that the actual etching of Si, under both cryogenic and near room temperature conditions, is based on the complete conversion of the Si surface to physisorbed SiF4, followed by subsequent sputtering of these molecules, instead of direct sputtering of the SiF03 surface.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Washington, D.C. Editor  
  Language Wos 000347360200101 Publication Date 2014-11-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1932-7447;1932-7455; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.536 Times cited 11 Open Access  
  Notes Approved Most recent IF: 4.536; 2014 IF: 4.772  
  Call Number UA @ lucian @ c:irua:122957 Serial 1239  
Permanent link to this record
 

 
Author (up) Tinck, S.; Tillocher, T.; Dussart, R.; Bogaerts, A. pdf  url
doi  openurl
  Title Cryogenic etching of silicon with SF6 inductively coupled plasmas: a combined modelling and experimental study Type A1 Journal article
  Year 2015 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 155204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid Monte Carlofluid model is applied to simulate the wafer-temperature-dependent etching of silicon with SF6 inductively coupled plasmas (ICP). The bulk plasma within the ICP reactor volume as well as the surface reactions occurring at the wafer are self-consistently described. The calculated etch rates are validated by experiments. The calculations and experiments are performed at two different wafer temperatures, i.e. 300 and 173 K, resembling conventional etching and cryoetching, respectively. In the case of cryoetching, a physisorbed SFx layer (x = 06) is formed on the wafer, which is negligible at room temperature, because of fast thermal desorption, However, even in the case of cryoetching, this layer can easily be disintegrated by low-energy ions, so it does not affect the etch rates. In the investigated pressure range of 19 Pa, the etch rate is always slightly higher at cryogenic conditions, both in the experiments and in the model, and this could be explained in the model due to a local cooling of the gas above the wafer, making the gas denser and increasing the flux of reactive neutrals, like F and F2, towards the wafer.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000351856600009 Publication Date 2015-03-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 9 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number c:irua:124209 Serial 551  
Permanent link to this record
 

 
Author (up) Tinck, S.; Tillocher, T.; Dussart, R.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Elucidating the effects of gas flow rate on an SF6inductively coupled plasma and on the silicon etch rate, by a combined experimental and theoretical investigation Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 385201  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Experiments show that the etch rate of Si with SF6 inductively coupled plasma (ICP) is significantly influenced by the absolute gas flow rate in the range of 50–600 sccm, with a maximum at around 200 sccm. Therefore, we numerically investigate the effects of the gas flow rate on the bulk plasma properties and on the etch rate, to obtain more insight in the underlying reasons of this effect. A hybrid Monte Carlo—fluid model is applied to simulate an SF6 ICP. It is found that the etch rate is influenced by two simultaneous effects: (i) the residence time of the gas and (ii) the temperature profile of the plasma in the ICP volume, resulting indeed in a maximum etch rate at 200 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000384095900011 Publication Date 2016-08-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 1 Open Access  
  Notes We are very grateful to Mark Kushner for providing the computational model. The Fund for Scientific Research Flanders (FWO; grant no. 0880.212.840) is acknowledged for financial support of this work. The work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:134867 Serial 4108  
Permanent link to this record
 

 
Author (up) Tinck, S.; Tillocher, T.; Georgieva, V.; Dussart, R.; Neyts, E.; Bogaerts, A. pdf  url
doi  openurl
  Title Concurrent effects of wafer temperature and oxygen fraction on cryogenic silicon etching with SF6/O2plasmas Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 9 Pages 1700018  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cryogenic plasma etching is a promising technique for high-control wafer development with limited plasma induced damage. Cryogenic wafer temperatures effectively reduce surface damage during etching, but the fundamental mechanism is not well understood. In this study, the influences of wafer temperature, gas mixture and substrate bias on the (cryogenic) etch rates of Si with SF6/O2 inductively coupled plasmas are experimentally and computationally investigated. The etch rates are measured in situ with double-point reflectometry and a hybrid computational Monte Carlo – fluid model is applied to calculate plasma properties. This work allows the reader to obtain a better insight in the effects of wafer temperature on the etch rate and to find operating conditions for successful anisotropic (cryo)etching.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000410773200012 Publication Date 2017-04-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited Open Access Not_Open_Access  
  Notes Fonds Wetenschappelijk Onderzoek, 0880.212.840 ; Hercules Foundation; Flemish Government (Department EWI); Universiteit Antwerpen; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:145637 Serial 4708  
Permanent link to this record
 

 
Author (up) Tit, N.; Al Ezzi, M.M.; Abdullah, H.M.; Yusupov, M.; Kouser, S.; Bahlouli, H.; Yamani, Z.H. pdf  url
doi  openurl
  Title Detection of CO2 using CNT-based sensors: Role of Fe catalyst on sensitivity and selectivity Type A1 Journal article
  Year 2017 Publication Materials chemistry and physics Abbreviated Journal Mater Chem Phys  
  Volume 186 Issue 186 Pages 353-364  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The adsorption of CO2 on surfaces of graphene and carbon nanotubes (CNTs), decorated with Fe atoms, are investigated using the self-consistent-charge density-functional tight-binding (SCC-DFTB) method, neglecting the heat effects. Fe ad-atoms are more stable when they are dispersed on hollow sites. They introduce a large density of states at the Fermi level (N-F); where keeping such density low would help in gas sensing. Furthermore, the Fe ad-atom can weaken the C=O double bonds of the chemisorbed CO2 molecule, paving the way for oxygen atoms to drain more charges from Fe. Consequently, chemisorption of CO2 molecules reduces both N-F and the conductance while it enhances the sensitivity with the increasing gas dose. Conducting armchair CNTs (ac-CNTs) have higher sensitivity than graphene and semiconducting zigzag CNTs (zz-CNT5). Comparative study of sensitivity of ac-CNT-Fe composite towards various gases (e.g., O-2, N-2, H-2, H2O, CO and CO2) has shown high sensitivity and selectivity towards CO, CO2 and H2O gases. (C) 2016 Elsevier B.V. All rights reserved.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Lausanne Editor  
  Language Wos 000390621200044 Publication Date 2016-11-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0254-0584 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.084 Times cited 17 Open Access Not_Open_Access  
  Notes Approved Most recent IF: 2.084  
  Call Number UA @ lucian @ c:irua:140333 Serial 4465  
Permanent link to this record
 

 
Author (up) Titantah, J.T.; Lamoen, D.; Neyts, E.; Bogaerts, A. doi  openurl
  Title The effect of hydrogen on the electronic and bonding properties of amorphous carbon Type A1 Journal article
  Year 2006 Publication Journal of physics : condensed matter Abbreviated Journal J Phys-Condens Mat  
  Volume 18 Issue 48 Pages 10803-10815  
  Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000242650600008 Publication Date 2006-11-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0953-8984;1361-648X; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.649 Times cited 13 Open Access  
  Notes Approved Most recent IF: 2.649; 2006 IF: 2.038  
  Call Number UA @ lucian @ c:irua:60468 Serial 816  
Permanent link to this record
 

 
Author (up) Torfs, E.; Vajs, J.; Bidart de Macedo, M.; Cools, F.; Vanhoutte, B.; Gorbanev, Y.; Bogaerts, A.; Verschaeve, L.; Caljon, G.; Maes, L.; Delputte, P.; Cos, P.; Komrlj, J.; Cappoen, D. pdf  url
doi  openurl
  Title Synthesis and in vitro investigation of halogenated 1,3-bis(4-nitrophenyl)triazenide salts as antitubercular compounds Type A1 Journal article
  Year 2017 Publication Chemical biology and drug design Abbreviated Journal Chem Biol Drug Des  
  Volume Issue Pages 1-10  
  Keywords A1 Journal article; Pharmacology. Therapy; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The diverse pharmacological properties of the diaryltriazenes have sparked the interest to investigate their potential to be repurposed as antitubercular drug candidates. In an attempt to improve the antitubercular activity of a previously constructed diaryltriazene library, eight new halogenated nitroaromatic triazenides were synthesized and underwent biological evaluation. The potency of the series was confirmed against the Mycobacterium tuberculosis lab strain H37Ra, and for the most potent derivative, we observed a minimal inhibitory concentration of 0.85 μm. The potency of the triazenide derivatives against M. tuberculosis H37Ra was found to be highly dependent on the nature of the halogenated phenyl substituent and less dependent on cationic species used for the preparation of the salts. Although the inhibitory concentration against J774A.1 macrophages was observed at 3.08 μm, the cellular toxicity was not mediated by the generation of nitroxide intermediate as confirmed by electron paramagnetic resonance spectroscopy, whereas no in vitro mutagenicity could be observed for the new halogenated nitroaromatic triazenides when a trifluoromethyl substituent was present on both the aryl moieties.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Copenhagen Editor  
  Language Wos 000422952300027 Publication Date 2017-08-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1747-0277; 1747-0285; 1397-002x ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.396 Times cited 5 Open Access OpenAccess  
  Notes Approved Most recent IF: 2.396  
  Call Number UA @ lucian @ c:irua:147182 Serial 4794  
Permanent link to this record
 

 
Author (up) Trenchev, G. pdf  openurl
  Title Computational modelling of atmospheric DC discharges for CO2 conversion Type Doctoral thesis
  Year 2019 Publication Abbreviated Journal  
  Volume Issue Pages 206 p.  
  Keywords Doctoral thesis; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos Publication Date  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ admin @ c:irua:163986 Serial 6290  
Permanent link to this record
 

 
Author (up) Trenchev, G.; Bogaerts, A. pdf  url
doi  openurl
  Title Dual-vortex plasmatron: A novel plasma source for CO2 conversion Type A1 Journal article
  Year 2020 Publication Journal Of Co2 Utilization Abbreviated Journal J Co2 Util  
  Volume 39 Issue Pages 101152  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Atmospheric pressure gliding arc (GA) discharges are gaining increasing interest for CO2 conversion and other gas conversion applications, due to their simplicity and high energy efficiency. However, they are characterized by some drawbacks, such as non-uniform gas treatment, limiting the conversion, as well as the development of a hot cathode spot, resulting in severe electrode degradation. In this work, we built a dual-vortex plasmatron, which is a GA plasma reactor with innovative electrode configuration, to solve the above problems. The design aims to improve the CO2 conversion capability of the GA reactor by elongating the arc in two directions, to increase the residence time of the gas inside the arc, and to actively cool the cathode spot by rotation of the arc and gas convection. The measured CO2 conversion and corresponding energy efficiency indeed look very promising. In addition, we developed a fluid dynamics non-thermal plasma model with argon chemistry, to study the arc behavior in the reactor and to explain the experimental results.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000546648400008 Publication Date 2020-03-20  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 2212-9820 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 7.7 Times cited Open Access  
  Notes Fund for Scientific Research – Flanders, G.0383.16N 11U53.16N ; Hercules Foundation, the Flemish Government; UAntwerpen; We acknowledge financial support from the Fund for Scientific Research – Flanders (FWO); grant numbers G.0383.16N and 11U53.16N. The calculations were performed using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI), and the UAntwerpen. We would also like to thank G. Van Loon from the University of Antwerp for building the DVP reactor. Approved Most recent IF: 7.7; 2020 IF: 4.292  
  Call Number PLASMANT @ plasmant @c:irua:167593 Serial 6356  
Permanent link to this record
 

 
Author (up) Trenchev, G.; Kolev, S.; Bogaerts, A. pdf  url
doi  openurl
  Title A 3D model of a reverse vortex flow gliding arc reactor Type A1 Journal article
  Year 2016 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 25 Issue 25 Pages 035014  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this computational study, a gliding arc plasma reactor with a reverse-vortex flow stabilization is modelled for the first time by a fluid plasma description. The plasma reactor operates with argon gas at atmospheric pressure. The gas flow is simulated using the k-ε Reynolds-averaged Navier–Stokes turbulent model. A quasi-neutral fluid plasma model is used for computing the plasma properties. The plasma arc movement in the reactor is observed, and the results for the gas flow, electrical characteristics, plasma density, electron temperature, and gas temperature are analyzed.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000376557400022 Publication Date 2016-04-09  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 20 Open Access  
  Notes This research was carried out in the framework of the network on Physical Chemistry of Plasma–Surface Interactions— Interuniversity Attraction Poles, phase VII (http://psi-iap7.ulb. ac.be/), and supported by the Belgian Science Policy Office (BELSPO), and it was also funded by the Fund for Scientific Research Flanders (FWO). Grant number: 11U5316N. Approved Most recent IF: 3.302  
  Call Number c:irua:132888 c:irua:132888 Serial 4063  
Permanent link to this record
 

 
Author (up) Trenchev, G.; Kolev, S.; Kiss’ovski, Z. pdf  url
doi  openurl
  Title Modeling a Langmuir probe in atmospheric pressure plasma at different EEDFs Type A1 Journal article
  Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 26 Issue 26 Pages 055013  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this study, we present a computational model of a cylindrical electric probe in atmospheric pressure argon plasma. The plasma properties are varied in terms of density and electron temperature. Furthermore, results for plasmas with Maxwellian and non-Maxwellian electron energy distribution functions are also obtained and compared. The model is based on the fluid description of plasma within the COMSOL software package. The results for the ion saturation current are compared and show good agreement with existing analytical Langmuir probe theories. A strong dependence between the ion saturation current and electron transport properties was observed, and attributed to the effects of ambipolar diffusion.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000398327900002 Publication Date 2017-04-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 4 Open Access OpenAccess  
  Notes Approved Most recent IF: 3.302  
  Call Number PLASMANT @ plasmant @ c:irua:141914 Serial 4535  
Permanent link to this record
 

 
Author (up) Trenchev, G.; Kolev, S.; Wang, W.; Ramakers, M.; Bogaerts, A. pdf  url
doi  openurl
  Title CO2Conversion in a Gliding Arc Plasmatron: Multidimensional Modeling for Improved Efficiency Type A1 Journal article
  Year 2017 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal J Phys Chem C  
  Volume 121 Issue 44 Pages 24470-24479  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The gliding arc plasmatron (GAP) is a highly efficient atmospheric plasma source, which is very promising for CO2 conversion applications. To understand its operation principles and to improve its application, we present here comprehensive modeling results, obtained by means of computational fluid dynamics simulations and plasma modeling. Because of the complexity of the CO2 plasma, a full 3D plasma model would be computationally impractical. Therefore, we combine a 3D turbulent gas flow model with a 2D plasma and gas heating model in order to calculate the plasma parameters and CO2 conversion characteristics. In addition, a complete 3D gas flow and plasma model with simplified argon chemistry is used to evaluate the gliding arc evolution in space and time. The calculated values are compared with experimental data from literature as much as possible in order to validate the model. The insights obtained in this study are very helpful for improving the application of CO2 conversion, as they allow us to identify the limiting factors in the performance, based on which solutions can be provided on how to further improve the capabilities of CO2 conversion in the GAP.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000415140400014 Publication Date 2017-11-09  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1932-7447 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.536 Times cited Open Access OpenAccess  
  Notes H2020 Marie Sklodowska-Curie Actions, 657304 ; Fonds Wetenschappelijk Onderzoek, 11U5316N G038316N ; Approved Most recent IF: 4.536  
  Call Number PLASMANT @ plasmant @c:irua:147193 Serial 4765  
Permanent link to this record
 

 
Author (up) Trenchev, G.; Nikiforov, A.; Wang, W.; Kolev, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Atmospheric pressure glow discharge for CO2 conversion : model-based exploration of the optimum reactor configuration Type A1 Journal article
  Year 2019 Publication Chemical engineering journal Abbreviated Journal Chem Eng J  
  Volume 362 Issue 362 Pages 830-841  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We investigate the performance of an atmospheric pressure glow discharge (APGD) reactor for CO2 conversion in three different configurations, through experiments and simulations. The first (basic) configuration utilizes the well-known pin-to-plate design, which offers a limited conversion. The second configuration improves the reactor performance by employing a vortex-flow generator. The third, “confined” configuration is a complete redesign of the reactor, which encloses the discharge in a limited volume, significantly surpassing the conversion rate of the other two designs. The plasma properties are investigated using an advanced plasma model.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000457863500084 Publication Date 2019-01-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1385-8947; 1873-3212 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 6.216 Times cited 4 Open Access Not_Open_Access: Available from 15.10.2019  
  Notes Approved Most recent IF: 6.216  
  Call Number UA @ admin @ c:irua:157459 Serial 5269  
Permanent link to this record
 

 
Author (up) Truong, B.; Siegert, K.; Lin, A.; Miller, V.; Krebs, F.C. pdf  doi
openurl 
  Title Apical application of nanosecond-pulsed dielectric barrier discharge plasma causes the basolateral release of adenosine triphosphate as a damage-associated molecular pattern from polarized HaCaT cells Type A1 Journal article
  Year 2017 Publication Plasma medicine Abbreviated Journal  
  Volume 7 Issue 2 Pages 117-131  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Promising biomedical uses for nonthermal plasma (NTP) in the fields of regenerative medicine, cancer therapy, and vaccine delivery involve the noninvasive application of uniform nonequilibrium plasma (including dielectric barrier discharge plasma) to living skin. Whereas most investigations have focused on achieving desired therapeutic outcomes, fewer studies have examined the mechanisms and pathways by which epithelial cells respond to NTP exposure. Using a transwell apical-basolateral-chambered system to culture the human keratinocyte HaCaT cell line, in vitro experiments were performed to demonstrate the effects of nanosecond-pulsed dielectric barrier discharge (nsDBD) plasma on polarized epithelial cell viability, monolayer permeability, intracellular oxidative stress, and the release of adenosine triphosphate (ATP). Application of nsDBD plasma at 60 Hz or below had minimal or no effect on HaCaT monolayer viability or permeability. nsDBD plasma exposure did, however, result in frequency-dependent reductions in intracellular glutathione (indicating direct induction of oxidative stress by nsDBD plasma) and increased extracellular ATP concentrations in the ba-solateral (subepithelial) media, which are indicators of cellular stress and an NTP-induced inflammatory response. These studies provide new insights into nsDBD plasma-induced inflammation and local innate immune responses initiated by polarized epithelial tissues.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos Publication Date 2017-02-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record  
  Impact Factor Times cited Open Access  
  Notes Approved no  
  Call Number UA @ admin @ c:irua:155656 Serial 7465  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: