toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author Gul, B.; Tinck, S.; De Schepper, P.; Aman-ur-Rehman; Bogaerts, A. pdf  url
doi  openurl
  Title Numerical investigation of HBr/He transformer coupled plasmas used for silicon etching Type A1 Journal article
  Year 2015 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 025202  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A two-dimensional hybrid Monte Carlofluid model is applied to study HBr/He inductively coupled plasmas used for etching of Si. Complete sets of gas-phase and surface reactions are presented and the effects of the gas mixing ratio on the plasma characteristics and on the etch rates are discussed. A comparison with experimentally measured etch rates is made to validate the modelling results. The etch rate in the HBr plasma is found to be quite low under the investigated conditions compared to typical etch rates of Si with F- or Cl-containing gases. This allows for a higher control and fine-tuning of the etch rate when creating ultra-small features. Our calculations predict a higher electron temperature at higher He fraction, because the electrons do not lose their energy so efficiently in vibrational and rotational excitations. As a consequence, electron impact ionization and dissociation become more important, yielding higher densities of ions, electrons and H atoms. This results in more pronounced sputtering of the surface. Nevertheless, the overall etch rate decreases upon increasing He fraction, suggesting that chemical etching is still the determining factor for the overall etch rate.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000347980100011 Publication Date 2014-12-10  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 7 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number c:irua:121335 Serial 2394  
Permanent link to this record
 

 
Author Mao, M.; Wang, Y.N.; Bogaerts, A. pdf  doi
openurl 
  Title Numerical study of the plasma chemistry in inductively coupled SF6 and SF6/AR plasmas used for deep silicon etching applications Type A1 Journal article
  Year 2011 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 44 Issue 43 Pages 435202,1-435202,15  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study inductively coupled SF6 plasmas used for Si etching applications. The plasma properties such as number densities of electrons, positive and negative ions, and neutrals are calculated under typical etching conditions. The electron kinetics is analysed by means of the electron energy probability function. The plasma chemistry taking place in pure SF6 and in an Ar/SF6 mixture is also discussed, and finally the effect of the argon fraction on the plasma properties is investigated.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000296591100004 Publication Date 2011-10-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 20 Open Access  
  Notes Approved Most recent IF: 2.588; 2011 IF: 2.544  
  Call Number UA @ lucian @ c:irua:91754 Serial 2409  
Permanent link to this record
 

 
Author Eckert, M.; Neyts, E.; Bogaerts, A. doi  openurl
  Title On the reaction behaviour of hydrocarbon species at diamond (1 0 0) and (1 1 1) surfaces: a molecular dynamics investigation Type A1 Journal article
  Year 2008 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 41 Issue Pages 032006,1-3  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000253177800006 Publication Date 2008-01-09  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 17 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:66107 Serial 2449  
Permanent link to this record
 

 
Author Martens, T.; Brok, W.J.M.; van Dijk, J.; Bogaerts, A. doi  openurl
  Title On the regime transitions during the formation of an atmospheric pressure dielectric barrier glow discharge Type A1 Journal article
  Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 42 Issue 12 Pages 122002,1-122002,5  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The atmospheric pressure dielectric barrier discharge in helium is a pulsed discharge in nature. If during the electrical current pulse a glow discharge is reached, then this pulse will last only a few microseconds in operating periods of sinusoidal voltage with lengths of about 10 to 100 µs. In this paper we demonstrate that right before a glow discharge is reached, the discharge very closely resembles the commonly assumed Townsend discharge structure, but actually contains some significant differing features and hence should not be considered as a Townsend discharge. In order to clarify this, we present calculation results of high time and space resolution of the pulse formation. The results indicate that indeed a maximum of ionization is formed at the anode, but that the level of ionization remains high and that the electric field at that time is significantly disturbed. Our results also show where this intermediate structure comes from.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000266639300002 Publication Date 2009-05-22  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 21 Open Access  
  Notes Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:76458 Serial 2450  
Permanent link to this record
 

 
Author de Bleecker, K.; Herrebout, D.; Bogaerts, A.; Gijbels, R.; Descamps, P. openurl 
  Title One-dimensional modelling of a capacitively coupled rf plasma in silane/helium, including small concentrations of O2 and N2 Type A1 Journal article
  Year 2003 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 36 Issue Pages 1826-1833  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited Open Access  
  Notes Approved Most recent IF: 2.588; 2003 IF: 1.265  
  Call Number UA @ lucian @ c:irua:44022 Serial 2463  
Permanent link to this record
 

 
Author Bultinck, E.; Mahieu, S.; Depla, D.; Bogaerts, A. doi  openurl
  Title The origin of Bohm diffusion, investigated by a comparison of different modelling methods Type A1 Journal article
  Year 2010 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 43 Issue 29 Pages 292001,1-292001,5  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract 'Bohm diffusion' causes the electrons to diffuse perpendicularly to the magnetic field lines. However, its origin is not yet completely understood: low and high frequency electric field fluctuations are both named to cause Bohm diffusion. The importance of including this process in a Monte Carlo (MC) model is demonstrated by comparing calculated ionization rates with particle-in-cell/Monte Carlo collisions (PIC/MCC) simulations. A good agreement is found with a Bohm diffusion parameter of 0.05, which corresponds well to experiments. Since the PIC/MCC method accounts for fast electric field fluctuations, we conclude that Bohm diffusion is caused by fast electric field phenomena.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000279638700001 Publication Date 2010-07-09  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 16 Open Access  
  Notes Approved Most recent IF: 2.588; 2010 IF: 2.109  
  Call Number UA @ lucian @ c:irua:83109 Serial 2521  
Permanent link to this record
 

 
Author van Dijk, J.; Kroesen, G.M.W.; Bogaerts, A. doi  openurl
  Title Plasma modelling and numerical simulation Type Editorial
  Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 42 Issue 19 Pages 190301,1-190301,14  
  Keywords Editorial; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Plasma modelling is an exciting subject in which virtually all physical disciplines are represented. Plasma models combine the electromagnetic, statistical and fluid dynamical theories that have their roots in the 19th century with the modern insights concerning the structure of matter that were developed throughout the 20th century. The present cluster issue consists of 20 invited contributions, which are representative of the state of the art in plasma modelling and numerical simulation. These contributions provide an in-depth discussion of the major theories and modelling and simulation strategies, and their applications to contemporary plasma-based technologies. In this editorial review, we introduce and complement those papers by providing a bird's eye perspective on plasma modelling and discussing the historical context in which it has surfaced.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000269993100001 Publication Date 2009-09-19  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 64 Open Access  
  Notes Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:78166 Serial 2637  
Permanent link to this record
 

 
Author Yusupov, M.; Neyts, E.C.; Simon, P.; Berdiyorov, G.; Snoeckx, R.; van Duin, A.C.T.; Bogaerts, A. pdf  doi
openurl 
  Title Reactive molecular dynamics simulations of oxygen species in a liquid water layer of interest for plasma medicine Type A1 Journal article
  Year 2014 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 47 Issue 2 Pages 025205-25209  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The application of atmospheric pressure plasmas in medicine is increasingly gaining attention in recent years, although very little is currently known about the plasma-induced processes occurring on the surface of living organisms. It is known that most bio-organisms, including bacteria, are coated by a liquid film surrounding them, and there might be many interactions between plasma species and the liquid layer before the plasma species reach the surface of the bio-organisms. Therefore, it is essential to study the behaviour of the reactive species in a liquid film, in order to determine whether these species can travel through this layer and reach the biomolecules, or whether new species are formed along the way. In this work, we investigate the interaction of reactive oxygen species (i.e. O, OH, HO2 and H2O2) with water, which is assumed as a simple model system for the liquid layer surrounding biomolecules. Our computational investigations show that OH, HO2 and H2O2 can travel deep into the liquid layer and are hence in principle able to reach the bio-organism. Furthermore, O, OH and HO2 radicals react with water molecules through hydrogen-abstraction reactions, whereas no H-abstraction reaction takes place in the case of H2O2. This study is important to gain insight into the fundamental operating mechanisms in plasma medicine, in general, and the interaction mechanisms of plasma species with a liquid film, in particular.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000329108000013 Publication Date 2013-12-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 51 Open Access  
  Notes Approved Most recent IF: 2.588; 2014 IF: 2.721  
  Call Number UA @ lucian @ c:irua:112286 Serial 2823  
Permanent link to this record
 

 
Author Zhang, Q.-Z.; Zhao, S.-X.; Jiang, W.; Wang, Y.-N. pdf  doi
openurl 
  Title Separate control between geometrical and electrical asymmetry effects in capacitively coupled plasmas Type A1 Journal article
  Year 2012 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 45 Issue 30 Pages 305203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Both geometrical and electrical asymmetry effects in capacitive argon discharges are investigated using a two-dimensional particle-in-cell coupled with Monte Carlo collision model. When changing the ratio of the top and bottom electrode surface areas and the phase shift between the two applied harmonics, the induced self-bias was found to develop separately. By adjusting the ratio between the high and low harmonic amplitudes, the electrical asymmetry effect at a fixed phase shift can be substantially optimized. However, the self-bias caused by the geometrical asymmetry hardly changed. Moreover, the separate control of these two asymmetry effects can also be demonstrated from their power absorption profiles. Both the axial and radial plasma density distributions can be modulated by the electrical asymmetry effect.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000306475200007 Publication Date 2012-07-10  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 20 Open Access  
  Notes Approved Most recent IF: 2.588; 2012 IF: 2.528  
  Call Number UA @ lucian @ c:irua:100751 Serial 2984  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
  Year 2008 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 41 Issue 6 Pages 065207,1-14  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000254153900022 Publication Date 2008-02-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 31 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:67019 Serial 3010  
Permanent link to this record
 

 
Author Zhang, S.; Van Gaens, W.; van Gessel, B.; Hofmann, S.; van Veldhuizen, E.; Bogaerts, A.; Bruggeman, P. pdf  doi
openurl 
  Title Spatially resolved ozone densities and gas temperatures in a time modulated RF driven atmospheric pressure plasma jet : an analysis of the production and destruction mechanisms Type A1 Journal article
  Year 2013 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 46 Issue 20 Pages 205202-205212  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this work, a time modulated RF driven DBD-like atmospheric pressure plasma jet in Ar + 2%O2, operating at a time averaged power of 6.5 W is investigated. Spatially resolved ozone densities and gas temperatures are obtained by UV absorption and Rayleigh scattering, respectively. Significant gas heating in the core of the plasma up to 700 K is found and at the position of this increased gas temperature a depletion of the ozone density is found. The production and destruction reactions of O3 in the jet effluent as a function of the distance from the nozzle are obtained from a zero-dimensional chemical kinetics model in plug flow mode which considers relevant air chemistry due to air entrainment in the jet fluent. A comparison of the measurements and the models show that the depletion of O3 in the core of the plasma is mainly caused by an enhanced destruction of O3 due to a large atomic oxygen density.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000318546100008 Publication Date 2013-05-02  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 74 Open Access  
  Notes Approved Most recent IF: 2.588; 2013 IF: 2.521  
  Call Number UA @ lucian @ c:irua:107840 Serial 3067  
Permanent link to this record
 

 
Author Bogaerts, A.; Neyts, E.C.; Rousseau, A. doi  openurl
  Title Special issue on fundamentals of plasmasurface interactions Type Editorial
  Year 2014 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 47 Issue 22 Pages 220301  
  Keywords Editorial; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Iop publishing ltd Place of Publication Bristol Editor  
  Language Wos 000336207900001 Publication Date 2014-05-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 2 Open Access  
  Notes Approved Most recent IF: 2.588; 2014 IF: 2.721  
  Call Number UA @ lucian @ c:irua:116917 Serial 3068  
Permanent link to this record
 

 
Author Georgieva, V.; Saraiva, M.; Jehanathan, N.; Lebelev, O.I.; Depla, D.; Bogaerts, A. pdf  doi
openurl 
  Title Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments Type A1 Journal article
  Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 42 Issue 6 Pages 065107,1-065107,8  
  Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Using a molecular dynamics model the crystallinity of MgxAlyOz thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al2O3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the MgAlO film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline MgAlO films have a MgO structure with Al atoms in between.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000263824200024 Publication Date 2009-03-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 37 Open Access  
  Notes Iwt Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:73246 Serial 3110  
Permanent link to this record
 

 
Author Van der Paal, J.; Verlackt, C.C.; Yusupov, M.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Structural modification of the skin barrier by OH radicals : a reactive molecular dynamics study for plasma medicine Type A1 Journal article
  Year 2015 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 155202  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract While plasma treatment of skin diseases and wound healing has been proven highly effective, the underlying mechanisms, and more generally the effect of plasma radicals on skin tissue, are not yet completely understood. In this paper, we perform ReaxFF-based reactive molecular dynamics simulations to investigate the interaction of plasma generated OH radicals with a model system composed of free fatty acids, ceramides, and cholesterol molecules. This model system is an approximation of the upper layer of the skin (stratum corneum). All interaction mechanisms observed in our simulations are initiated by H-abstraction from one of the ceramides. This reaction, in turn, often starts a cascade of other reactions, which eventually lead to the formation of aldehydes, the dissociation of ceramides or the elimination of formaldehyde, and thus eventually to the degradation of the skin barrier function.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000351856600007 Publication Date 2015-03-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 20 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number c:irua:124230 Serial 3242  
Permanent link to this record
 

 
Author Setareh, M.; Farnia, M.; Maghari, A.; Bogaerts, A. pdf  doi
openurl 
  Title CF4 decomposition in a low-pressure ICP : influence of applied power and O2 content Type A1 Journal article
  Year 2014 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 47 Issue 35 Pages 355205  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract This paper focuses on the investigation of CF4 decomposition in a low-pressure inductively coupled plasma by means of a global model. The influence of O2 on the CF4 decomposition process is studied for conditions used in semiconductor manufacturing processes. The model is applied for different powers and O2 contents ranging between 2% and 98% in the CF4/O2 gas mixture. The model includes the reaction mechanisms in the gas phase coupled with the surface reactions and sticking probabilities of the species at the walls. The calculation results are first compared with experimental results from the literature (for the electron density, temperature and F atom density) at a specific power, in the entire range of CF4/O2 gas mixture ratios, and the obtained agreements indicate the validity of the model. The main products of the gas mixture, obtained from this model, include CO, CO2 and COF2 together with a low fraction of F2. The most effective reactions for the formation and loss of the various species in this process are also determined in detail. Decomposition of CF4 produces mostly CF3 and F radicals. These radicals also contribute to the backward reactions, forming again CF4. This study reveals that the maximum decomposition efficiency of CF4 is achieved at a CF4/O2 ratio equal to 1, at the applied power of 300 W.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000341353800017 Publication Date 2014-08-15  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 8 Open Access  
  Notes Approved Most recent IF: 2.588; 2014 IF: 2.721  
  Call Number UA @ lucian @ c:irua:118327 Serial 3521  
Permanent link to this record
 

 
Author Neyts, E.C.; Bogaerts, A. pdf  doi
openurl 
  Title Understanding plasma catalysis through modelling and simulation : a review Type A1 Journal article
  Year 2014 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 47 Issue 22 Pages 224010  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Plasma catalysis holds great promise for environmental applications, provided that the process viability can be maximized in terms of energy efficiency and product selectivity. This requires a fundamental understanding of the various processes taking place and especially the mutual interactions between plasma and catalyst. In this review, we therefore first examine the various effects of the plasma on the catalyst and of the catalyst on the plasma that have been described in the literature. Most of these studies are purely experimental. The urgently needed fundamental understanding of the mechanisms underpinning plasma catalysis, however, may also be obtained through modelling and simulation. Therefore, we also provide here an overview of the modelling efforts that have been developed already, on both the atomistic and the macroscale, and we identify the data that can be obtained with these models to illustrate how modelling and simulation may contribute to this field. Last but not least, we also identify future modelling opportunities to obtain a more complete understanding of the various underlying plasma catalytic effects, which is needed to provide a comprehensive picture of plasma catalysis.  
  Address  
  Corporate Author Thesis  
  Publisher Iop publishing ltd Place of Publication Bristol Editor  
  Language Wos 000336207900011 Publication Date 2014-05-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 130 Open Access  
  Notes Approved Most recent IF: 2.588; 2014 IF: 2.721  
  Call Number UA @ lucian @ c:irua:116920 Serial 3803  
Permanent link to this record
 

 
Author Dufour, T.; Minnebo, J.; Abou Rich, S.; Neyts, E.C.; Bogaerts, A.; Reniers, F. pdf  doi
openurl 
  Title Understanding polyethylene surface functionalization by an atmospheric He/O2 plasma through combined experiments and simulations Type A1 Journal article
  Year 2014 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 47 Issue 22 Pages 224007  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract High density polyethylene surfaces were exposed to the atmospheric post-discharge of a radiofrequency plasma torch supplied in helium and oxygen. Dynamic water contact angle measurements were performed to evaluate changes in surface hydrophilicity and angle resolved x-ray photoelectron spectroscopy was carried out to identify the functional groups responsible for wettability changes and to study their subsurface depth profiles, up to 9 nm in depth. The reactions leading to the formation of CO, C = O and OC = O groups were simulated by molecular dynamics. These simulations demonstrate that impinging oxygen atoms do not react immediately upon impact but rather remain at or close to the surface before eventually reacting. The simulations also explain the release of gaseous species in the ambient environment as well as the ejection of low molecular weight oxidized materials from the surface.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000336207900008 Publication Date 2014-05-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 13 Open Access  
  Notes Approved Most recent IF: 2.588; 2014 IF: 2.721  
  Call Number UA @ lucian @ c:irua:116919 Serial 3804  
Permanent link to this record
 

 
Author Bogaerts, A.; Khosravian, N.; Van der Paal, J.; Verlackt, C.C.W.; Yusupov, M.; Kamaraj, B.; Neyts, E.C. pdf  url
doi  openurl
  Title Multi-level molecular modelling for plasma medicine Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 054002  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modelling at the molecular or atomic scale can be very useful for obtaining a better insight in plasma medicine. This paper gives an overview of different atomic/molecular scale modelling approaches that can be used to study the direct interaction of plasma species with biomolecules or the consequences of these interactions for the biomolecules on a somewhat longer time-scale. These approaches include density functional theory (DFT), density functional based tight binding (DFTB), classical reactive and non-reactive molecular dynamics (MD) and united-atom or coarse-grained MD, as well as hybrid quantum mechanics/molecular mechanics (QM/MM) methods. Specific examples will be given for three important types of biomolecules, present in human cells, i.e. proteins, DNA and phospholipids found in the cell membrane. The results show that each of these modelling approaches has its specific strengths and limitations, and is particularly useful for certain applications. A multi-level approach is therefore most suitable for obtaining a global picture of the plasma–biomolecule interactions.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000368944100003 Publication Date 2015-12-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 11 Open Access  
  Notes This work is financially supported by the Fund for Scientific Research Flanders (FWO) and the Francqui Foundation. The calculations were carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. Approved Most recent IF: 2.588  
  Call Number c:irua:131571 Serial 3985  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 195203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, H2 + and H3 + are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a

higher fraction results in less chemical etching but more (physical) sputtering.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000375255500017 Publication Date 2016-04-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 5 Open Access  
  Notes We acknowledge the Fund for Scientific Research Flanders (FWO) for financial support of this work. This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:132890 Serial 4062  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Role of vibrationally excited HBr in a HBr/He inductively coupled plasma used for etching of silicon Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 245204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this work, the role of vibrationally excited HBr (HBr(vib)) is computationally investigated for a HBr/He inductively coupled plasma applied for Si etching. It is found that at least 50% of all dissociations of HBr occur through HBr(vib). This additional dissociation pathway through HBr(vib) makes the plasma significantly more atomic. It also results in a slightly higher electron temperature (i.e. about 0.2 eV higher compared to simulation results where HBr(vib) is not included), as well as a higher gas temperature (i.e. about 50 K higher than without including HBr(vib)), due to the enhanced Franck–Condon heating through HBr(vib) dissociation,

at the conditions investigated. Most importantly, the calculated etch rate with HBr(vib) included in the model is a factor 3 higher than in the case without HBr(vib), due to the higher fluxes of etching species (i.e. H and Br), while the chemical composition of the wafer surface shows no significant difference. Our calculations clearly show the importance of including HBr(vib) for accurate modeling of HBr-containing plasmas.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000377427100020 Publication Date 2016-05-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited Open Access  
  Notes The Fund for Scientific Research Flanders (FWO) is acknowledged for financial support of this work (Grant no. 0880.212.840). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Prof. Mark Kushner is also gratefully acknowledged for the useful discussions and for providing the HPEM code. Approved Most recent IF: 2.588  
  Call Number c:irua:133457 Serial 4072  
Permanent link to this record
 

 
Author Tinck, S.; Tillocher, T.; Dussart, R.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Elucidating the effects of gas flow rate on an SF6inductively coupled plasma and on the silicon etch rate, by a combined experimental and theoretical investigation Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 385201  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Experiments show that the etch rate of Si with SF6 inductively coupled plasma (ICP) is significantly influenced by the absolute gas flow rate in the range of 50–600 sccm, with a maximum at around 200 sccm. Therefore, we numerically investigate the effects of the gas flow rate on the bulk plasma properties and on the etch rate, to obtain more insight in the underlying reasons of this effect. A hybrid Monte Carlo—fluid model is applied to simulate an SF6 ICP. It is found that the etch rate is influenced by two simultaneous effects: (i) the residence time of the gas and (ii) the temperature profile of the plasma in the ICP volume, resulting indeed in a maximum etch rate at 200 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000384095900011 Publication Date 2016-08-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 1 Open Access  
  Notes We are very grateful to Mark Kushner for providing the computational model. The Fund for Scientific Research Flanders (FWO; grant no. 0880.212.840) is acknowledged for financial support of this work. The work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:134867 Serial 4108  
Permanent link to this record
 

 
Author de de Meux, A.J.; Pourtois, G.; Genoe, J.; Heremans, P. pdf  doi
openurl 
  Title Comparison of the electronic structure of amorphous versus crystalline indium gallium zinc oxide semiconductor : structure, tail states and strain effects Type A1 Journal article
  Year 2015 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 435104  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We study the evolution of the structural and electronic properties of crystalline indium gallium zinc oxide (IGZO) upon amorphization by first-principles calculation. The bottom of the conduction band (BCB) is found to be constituted of a pseudo-band of molecular orbitals that resonate at the same energy on different atomic sites. They display a bonding character between the s orbitals of the metal sites and an anti-bonding character arising from the interaction between the oxygen and metal s orbitals. The energy level of the BCB shifts upon breaking of the crystal symmetry during the amorphization process, which may be attributed to the reduction of the coordination of the cationic centers. The top of the valence band (TVB) is constructed from anti-bonding oxygen p orbitals. In the amorphous state, they have random orientation, in contrast to the crystalline state. This results in the appearance of localized tail states in the forbidden gap above the TVB. Zinc is found to play a predominant role in the generation of these tail states, while gallium hinders their formation. Last, we study the dependence of the fundamental gap and effective mass of IGZO on mechanical strain. The variation of the gap under strain arises from the enhancement of the anti-bonding interaction in the BCB due to the modification of the length of the oxygen-metal bonds and/or to a variation of the cation coordination. This effect is less pronounced for the amorphous material compared to the crystalline material, making amorphous IGZO a semiconductor of choice for flexible electronics. Finally, the effective mass is found to increase upon strain, in contrast to regular materials. This counterintuitive variation is due to the reduction of the electrostatic shielding of the cationic centers by oxygen, leading to an increase of the overlaps between the metal orbitals at the origin of the delocalization of the BCB. For the range of strain typically met in flexible electronics, the induced variation in the effective mass is found to be negligible (less than 1%).  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000365876300012 Publication Date 2015-09-30  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 23 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number UA @ lucian @ c:irua:130277 Serial 4153  
Permanent link to this record
 

 
Author Ozkan, A.; Bogaerts, A.; Reniers, F. pdf  url
doi  openurl
  Title Routes to increase the conversion and the energy efficiency in the splitting of CO2by a dielectric barrier discharge Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 50 Pages 084004  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Here, we present routes to increase CO2 conversion into CO using an atmospheric pressure dielectric-barrier discharge. The change in conversion as a function of simple plasma parameters, such as power, flow rate, but also frequency, on-and-off power pulse, thickness and the chemical nature of the dielectric, wall and gas temperature, are described. By means of an in-depth electrical characterization of the discharge (effective plasma voltage, dielectric voltage, plasma current, number and lifetime of the microdischarges), combined with infrared analysis of the walls of the reactor, optical emission spectroscopy for the gas temperature, and mass spectrometry for the CO2 conversion, we propose a global interpretation of the effect of all the experimental parameters on the conversion and efficiency of the reaction.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000395400700001 Publication Date 2017-01-30  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 28 Open Access OpenAccess  
  Notes The authors acknowledge financial support from the IAPVII/ 12, P7/34 (Interuniversity Attraction Pole) program PSIPhysical Chemistry of Plasma–Surface Interaction financially supported by the Belgian Federal Office for Science Policy (BELSPO). A Ozkan would like to thank the financial support given by the Fonds David et Alice Van Buuren. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:140093 Serial 4415  
Permanent link to this record
 

 
Author Verlackt, C.C.W.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Atomic scale behavior of oxygen-based radicals in water Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 50 Pages 11LT01  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cold atmospheric pressure plasmas in and in contact with liquids represent a growing field of research for various applications. Understanding the interactions between the plasma generated species and the liquid is crucial. In this work we perform molecular dynamics (MD) simulations based on a quantum mechanical method, i.e. density-functional based tight-binding (DFTB), to examine the interactions of OH radicals and O atoms in bulk water. Our calculations reveal that the transport of OH radicals through water is not only governed by diffusion, but also by an equilibrium reaction of H-abstraction with water molecules. Furthermore, when two OH radicals encounter each other, they either form a stable cluster, or react, resulting in the formation of a new water molecule and an O atom. In addition,

the O atoms form either oxywater (when in singlet configuration) or they remain stable in solution (when in triplet configuration), stressing the important role that O atoms can play in aqueous solution, and in contact with biomolecules. Our observations are in line with both experimental and ab initio results from the literature.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000415252400001 Publication Date 2017-02-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 11 Open Access OpenAccess  
  Notes The authors thank Peter Bruggeman (University of Minnesota, USA) and Jan Benedikt (Ruhr-Universität Bochum, Germany) for the interesting discussions regarding the existence of O in aqueous solutions. Furthermore, they acknowledge financial support from the Fund for Scientific Research (FWO) Flanders (project number G012413N). The calculations were performed using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:140845 Serial 4420  
Permanent link to this record
 

 
Author Bogaerts, A.; Khosravian, N.; Van der Paal, J.; Verlackt, C.C.W.; Yusupov, M.; Kamaraj, B.; Neyts, E.C. pdf  url
openurl 
  Title Multi-level molecular modelling for plasma medicine Type A1 Journal article
  Year 2016 Publication Journal Of Physics D-Applied Physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 49 Issue 5 Pages 054002-54019  
  Keywords A1 Journal article; Plasma, laser ablation and surface modeling – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record  
  Impact Factor 2.588 Times cited Open Access  
  Notes Approved Most recent IF: 2.588  
  Call Number UA @ lucian @ c:irua:129798 Serial 4467  
Permanent link to this record
 

 
Author Khalilov, U.; Bogaerts, A.; Hussain, S.; Kovacevic, E.; Brault, P.; Boulmer-Leborgne, C.; Neyts, E.C. pdf  url
doi  openurl
  Title Nanoscale mechanisms of CNT growth and etching in plasma environment Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 50 Pages 184001  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Plasma-enhanced chemical deposition (PECVD) of carbon nanotubes has already been shown to allow chirality control to some extent. In PECVD, however, etching may occur simultaneously with the growth, and the occurrence of intermediate processes further significantly complicates the growth process.

We here employ a computational approach with experimental support to study the plasma-based formation of Ni nanoclusters, Ni-catalyzed CNT growth and subsequent etching processes, in order to understand the underpinning nanoscale mechanisms. We find that hydrogen is the dominant factor in both the re-structuring of a Ni film and the subsequent appearance of Ni nanoclusters, as well as in the CNT nucleation and etching processes. The obtained results are compared with available theoretical and experimental studies and provide a deeper understanding of the occurring nanoscale mechanisms in plasma-assisted CNT nucleation and growth.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000398300900001 Publication Date 2017-04-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 6 Open Access OpenAccess  
  Notes UK gratefully acknowledges financial support from the Research Foundation – Flanders (FWO), Belgium (Grant No. 12M1315N). The work was carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Centre VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. The authors also thank Prof A C T van Duin for sharing the ReaxFF code. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:141918 Serial 4533  
Permanent link to this record
 

 
Author Adamovich, I.; Baalrud, S.D.; Bogaerts, A.; Bruggeman, P.J.; Cappelli, M.; Colombo, V.; Czarnetzki, U.; Ebert, U.; Eden, J.G.; Favia, P.; Graves, D.B.; Hamaguchi, S.; Hieftje, G.; Hori, M.; Kaganovich, I.D.; Kortshagen, U.; Kushner, M.J.; Mason, N.J.; Mazouffre, S.; Thagard, S.M.; Metelmann, H.-R.; Mizuno, A.; Moreau, E.; Murphy, A.B.; Niemira, B.A.; Oehrlein, G.S.; Petrovic, Z.L.; Pitchford, L.C.; Pu, Y.-K.; Rauf, S.; Sakai, O.; Samukawa, S.; Starikovskaia, S.; Tennyson, J.; Terashima, K.; Turner, M.M.; van de Sanden, M.C.M.; Vardelle, A. url  doi
openurl 
  Title The 2017 Plasma Roadmap: Low temperature plasma science and technology Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 50 Pages 323001  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Journal of Physics D: Applied Physics published the first Plasma Roadmap in 2012

consisting of the individual perspectives of 16 leading experts in the various sub-fields of low temperature plasma science and technology. The 2017 Plasma Roadmap is the first update of a planned series of periodic updates of the Plasma Roadmap. The continuously growing interdisciplinary nature of the low temperature plasma field and its equally broad range of applications are making it increasingly difficult to identify major challenges that encompass all of the many sub-fields and applications. This intellectual diversity is ultimately a strength of the field. The current state of the art for the 19 sub-fields addressed in this roadmap demonstrates the enviable track record of the low temperature plasma field in the development of plasmas as an enabling technology for a vast range of technologies that underpin our modern society. At the same time, the many important scientific and technological challenges shared in this roadmap show that the path forward is not only scientifically rich but has the potential to make wide and far reaching contributions to many societal challenges.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000405553800001 Publication Date 2017-07-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 246 Open Access OpenAccess  
  Notes Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:144626 Serial 4629  
Permanent link to this record
 

 
Author Kong, L.; Wang, W.; Murphy, A.B.; Xia, G. pdf  url
doi  openurl
  Title Numerical analysis of direct-current microdischarge for space propulsion applications using the particle-in-cell/Monte Carlo collision (PIC/MCC) method Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 16 Pages 165203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Microdischarges are an important type of plasma discharge that possess several unique characteristics, such as the presence of a stable glow discharge, high plasma density and intense excimer radiation, leading to several potential applications. The intense and controllable gas heating within the extremely small dimensions of microdischarges has been exploited in microthruster technologies by incorporating a micro-nozzle to generate the thrust. This kind of microthruster has a significantly improved specific impulse performance compared to conventional cold gas thrusters, and can meet the requirements arising from the emerging development and application of micro-spacecraft. In this paper, we performed a self-consistent 2D particle-in-cell simulation, with a Monte Carlo collision model, of a microdischarge operating in a prototype micro-plasma thruster with a hollow cylinder geometry and a divergent micro-nozzle. The model takes into account the thermionic electron emission including the Schottky effect, the secondary electron emission due to cathode bombardment by the plasma ions, several different collision processes, and a non-uniform argon background gas density in the cathode-anode gap. Results in the high-pressure (several hundreds of Torr), high-current (mA) operating regime showing the behavior of the plasma density, potential distribution, and energy flux towards the hollow cathode and anode are presented and discussed. In addition, the results of simulations showing the effect of different argon gas pressures, cathode material work function and discharge voltage on the operation of the microdischarge thruster are presented. Our calculated properties are compared with experimental data under similar conditions and qualitative and quantitative agreements are reached.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000398856300001 Publication Date 2017-02-22  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 8 Open Access OpenAccess  
  Notes Approved Most recent IF: 2.588  
  Call Number UA @ lucian @ c:irua:143642 Serial 4674  
Permanent link to this record
 

 
Author Wang, W.; Kong, L.; Geng, J.; Wei, F.; Xia, G. url  doi
openurl 
  Title Wall ablation of heated compound-materials into non-equilibrium discharge plasmas Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 7 Pages 074005  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The discharge properties of the plasma bulk flow near the surface of heated compound-materials strongly affects the kinetic layer parameters modeled and manifested in the Knudsen layer. This paper extends the widely used two-layer kinetic ablation model to the ablation controlled non-equilibrium discharge due to the fact that the local thermodynamic equilibrium (LTE) approximation is often violated as a result of the interaction between the plasma and solid walls. Modifications to the governing set of equations, to account for this effect, are derived and presented by assuming that the temperature of the electrons deviates from that of the heavy particles. The ablation characteristics of one typical material, polytetrafluoroethylene (PTFE) are calculated with this improved model. The internal degrees of freedom as well as the average particle mass and specific heat ratio of the polyatomic vapor, which strongly depends on the temperature, pressure and plasma non-equilibrium degree and plays a crucial role in the accurate determination of the ablation behavior by this model, are also taken into account. Our assessment showed the significance of including such modifications related to the non-equilibrium effect in the study of vaporization of heated compound materials in ablation controlled arcs. Additionally, a two-temperature magneto-hydrodynamic (MHD) model accounting for the thermal non-equilibrium occurring near the wall surface is developed and applied into an ablation-dominated discharge for an electro-thermal chemical launch device. Special attention is paid to the interaction between the non-equilibrium plasma and the solid propellant surface. Both the mass exchange process caused by the wall ablation and plasma species deposition as well as the associated momentum and energy exchange processes are taken into account. A detailed comparison of the results of the non-equilibrium model with those of an equilibrium model is presented. The non-equilibrium results show a non-equilibrium region near the plasma-wall interaction region and this indicates the need for the consideration of the influence of the possible departure from LTE in the plasma bulk on the determination of ablation rate.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000394097200001 Publication Date 2017-01-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 19 Open Access OpenAccess  
  Notes Approved Most recent IF: 2.588  
  Call Number UA @ lucian @ c:irua:141965 Serial 4702  
Permanent link to this record
 

 
Author Wang, H.; Wang, W.; Yan, J.D.; Qi, H.; Geng, J.; Wu, Y. pdf  doi
openurl 
  Title Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal (up) J Phys D Appl Phys  
  Volume 50 Issue 39 Pages 395204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg-Waage equation according to van de Sanden et al's derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto's electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman-Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000410390100001 Publication Date 2017-07-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 3 Open Access Not_Open_Access  
  Notes Approved Most recent IF: 2.588  
  Call Number UA @ lucian @ c:irua:145603 Serial 4754  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: