toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author (down) Tinck, S.; Tillocher, T.; Dussart, R.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Elucidating the effects of gas flow rate on an SF6inductively coupled plasma and on the silicon etch rate, by a combined experimental and theoretical investigation Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 385201  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Experiments show that the etch rate of Si with SF6 inductively coupled plasma (ICP) is significantly influenced by the absolute gas flow rate in the range of 50–600 sccm, with a maximum at around 200 sccm. Therefore, we numerically investigate the effects of the gas flow rate on the bulk plasma properties and on the etch rate, to obtain more insight in the underlying reasons of this effect. A hybrid Monte Carlo—fluid model is applied to simulate an SF6 ICP. It is found that the etch rate is influenced by two simultaneous effects: (i) the residence time of the gas and (ii) the temperature profile of the plasma in the ICP volume, resulting indeed in a maximum etch rate at 200 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000384095900011 Publication Date 2016-08-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 1 Open Access  
  Notes We are very grateful to Mark Kushner for providing the computational model. The Fund for Scientific Research Flanders (FWO; grant no. 0880.212.840) is acknowledged for financial support of this work. The work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:134867 Serial 4108  
Permanent link to this record
 

 
Author (down) Tinck, S.; Tillocher, T.; Dussart, R.; Bogaerts, A. pdf  url
doi  openurl
  Title Cryogenic etching of silicon with SF6 inductively coupled plasmas: a combined modelling and experimental study Type A1 Journal article
  Year 2015 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 155204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid Monte Carlofluid model is applied to simulate the wafer-temperature-dependent etching of silicon with SF6 inductively coupled plasmas (ICP). The bulk plasma within the ICP reactor volume as well as the surface reactions occurring at the wafer are self-consistently described. The calculated etch rates are validated by experiments. The calculations and experiments are performed at two different wafer temperatures, i.e. 300 and 173 K, resembling conventional etching and cryoetching, respectively. In the case of cryoetching, a physisorbed SFx layer (x = 06) is formed on the wafer, which is negligible at room temperature, because of fast thermal desorption, However, even in the case of cryoetching, this layer can easily be disintegrated by low-energy ions, so it does not affect the etch rates. In the investigated pressure range of 19 Pa, the etch rate is always slightly higher at cryogenic conditions, both in the experiments and in the model, and this could be explained in the model due to a local cooling of the gas above the wafer, making the gas denser and increasing the flux of reactive neutrals, like F and F2, towards the wafer.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000351856600009 Publication Date 2015-03-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 9 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number c:irua:124209 Serial 551  
Permanent link to this record
 

 
Author (down) Tinck, S.; Neyts, E.C.; Bogaerts, A. url  doi
openurl 
  Title Fluorinesilicon surface reactions during cryogenic and near room temperature etching Type A1 Journal article
  Year 2014 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal J Phys Chem C  
  Volume 118 Issue 51 Pages 30315-30324  
  Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cyrogenic etching of silicon is envisaged to enable better control over plasma processing in the microelectronics industry, albeit little is known about the fundamental differences compared to the room temperature process. We here present molecular dynamics simulations carried out to obtain sticking probabilities, thermal desorption rates, surface diffusion speeds, and sputter yields of F, F2, Si, SiF, SiF2, SiF3, SiF4, and the corresponding ions on Si(100) and on SiF13 surfaces, both at cryogenic and near room temperature. The different surface behavior during conventional etching and cryoetching is discussed. F2 is found to be relatively reactive compared to other species like SiF03. Thermal desorption occurs at a significantly lower rate under cryogenic conditions, which results in an accumulation of physisorbed species. Moreover, ion incorporation is often observed for ions with energies of 30400 eV, which results in a relatively low net sputter yield. The obtained results suggest that the actual etching of Si, under both cryogenic and near room temperature conditions, is based on the complete conversion of the Si surface to physisorbed SiF4, followed by subsequent sputtering of these molecules, instead of direct sputtering of the SiF03 surface.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Washington, D.C. Editor  
  Language Wos 000347360200101 Publication Date 2014-11-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1932-7447;1932-7455; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.536 Times cited 11 Open Access  
  Notes Approved Most recent IF: 4.536; 2014 IF: 4.772  
  Call Number UA @ lucian @ c:irua:122957 Serial 1239  
Permanent link to this record
 

 
Author (down) Tinck, S.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
  Year 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 10 Issue 8 Pages 714-730  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000327790000006 Publication Date 2013-05-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 3 Open Access  
  Notes Approved Most recent IF: 2.846; 2013 IF: 2.964  
  Call Number UA @ lucian @ c:irua:109900 Serial 2397  
Permanent link to this record
 

 
Author (down) Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Investigation of etching and deposition processes of Cl2/O2/Ar inductively coupled plasmas on silicon by means of plasmasurface simulations and experiments Type A1 Journal article
  Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 42 Issue Pages 095204,1-095204,13  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, a simulation method is described to predict the etching behaviour of Cl2/O2/Ar inductively coupled plasmas on a Si substrate, as used in shallow trench isolation for the production of electronic devices. The hybrid plasma equipment model (HPEM) developed by Kushner et al is applied to calculate the plasma characteristics in the reactor chamber and two additional Monte Carlo simulations are performed to predict the fluxes, angles and energy of the plasma species bombarding the Si substrate, as well as the resulting surface processes such as etching and deposition. The simulations are performed for a wide variety of operating conditions such as gas composition, chamber pressure, power deposition and substrate bias. It is predicted by the simulations that when the fraction of oxygen in the gas mixture is too high, the oxidation of the Si substrate is superior to the etching of Si by chlorine species, resulting in an etch rate close to zero as is also observed in the experiments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000265531000030 Publication Date 2009-04-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 23 Open Access  
  Notes Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:75601 Serial 1731  
Permanent link to this record
 

 
Author (down) Tinck, S.; Boullart, W.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 4 Pages 045012-045012,19  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000295829800014 Publication Date 2011-06-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 22 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:91045 Serial 2141  
Permanent link to this record
 

 
Author (down) Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
  Year 2008 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 41 Issue 6 Pages 065207,1-14  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000254153900022 Publication Date 2008-02-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 31 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:67019 Serial 3010  
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A.; Shamiryan, D. doi  openurl
  Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
  Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 8 Issue 6 Pages 490-499  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000292116800003 Publication Date 2011-03-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2011 IF: 2.468  
  Call Number UA @ lucian @ c:irua:90926 Serial 3014  
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A. doi  openurl
  Title Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 1 Pages 015008-015008,10  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, an O2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al2O3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O2, while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000286592200009 Publication Date 2011-01-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 11 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:85285 Serial 467  
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 5 Pages 522-539  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000303858100010 Publication Date 2012-03-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:99127 Serial 2142  
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 195203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, H2 + and H3 + are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a

higher fraction results in less chemical etching but more (physical) sputtering.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000375255500017 Publication Date 2016-04-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 5 Open Access  
  Notes We acknowledge the Fund for Scientific Research Flanders (FWO) for financial support of this work. This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:132890 Serial 4062  
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Role of vibrationally excited HBr in a HBr/He inductively coupled plasma used for etching of silicon Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 245204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this work, the role of vibrationally excited HBr (HBr(vib)) is computationally investigated for a HBr/He inductively coupled plasma applied for Si etching. It is found that at least 50% of all dissociations of HBr occur through HBr(vib). This additional dissociation pathway through HBr(vib) makes the plasma significantly more atomic. It also results in a slightly higher electron temperature (i.e. about 0.2 eV higher compared to simulation results where HBr(vib) is not included), as well as a higher gas temperature (i.e. about 50 K higher than without including HBr(vib)), due to the enhanced Franck–Condon heating through HBr(vib) dissociation,

at the conditions investigated. Most importantly, the calculated etch rate with HBr(vib) included in the model is a factor 3 higher than in the case without HBr(vib), due to the higher fluxes of etching species (i.e. H and Br), while the chemical composition of the wafer surface shows no significant difference. Our calculations clearly show the importance of including HBr(vib) for accurate modeling of HBr-containing plasmas.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000377427100020 Publication Date 2016-05-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited Open Access  
  Notes The Fund for Scientific Research Flanders (FWO) is acknowledged for financial support of this work (Grant no. 0880.212.840). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Prof. Mark Kushner is also gratefully acknowledged for the useful discussions and for providing the HPEM code. Approved Most recent IF: 2.588  
  Call Number c:irua:133457 Serial 4072  
Permanent link to this record
 

 
Author (down) Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
  Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 11 Issue 1 Pages 52-62  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000330588800006 Publication Date 2013-11-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 1 Open Access  
  Notes Approved Most recent IF: 2.846; 2014 IF: 2.453  
  Call Number UA @ lucian @ c:irua:115735 Serial 1256  
Permanent link to this record
 

 
Author (down) Tinck, S. openurl 
  Title Numerical simulations of inductively coupled plasmas for applications in the microelectronics industry Type Doctoral thesis
  Year 2011 Publication Abbreviated Journal  
  Volume Issue Pages  
  Keywords Doctoral thesis; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Antwerpen Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:92051 Serial 2406  
Permanent link to this record
 

 
Author (down) Teodoru, S.; Kusano, Y.; Bogaerts, A. pdf  doi
openurl 
  Title The effect of O2 in a humid O2/N2/NOx gas mixture on NOx and N2O remediation by an atmospheric pressure dielectric barrier discharge Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 7 Pages 652-689  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A numerical model for NxOy remediation in humid air plasma produced with a dielectric barrier discharge at atmospheric pressure is presented. Special emphasis is given to NO2 and N2O reduction with the decrease of O2 content in the feedstock gas. A detailed reaction mechanism including electronic and ionic processes, as well as the contribution of radicals and excited atomic/molecular species is proposed. The temporal evolution of the densities of NO, NO2 and N2O species, and some other by-products, is analyzed, and the major pathways for the NxOy remediation are discussed for one pulse. Subsequently, simulations are presented for a multi-pulses case, where three O2 contents are tested for optimization of the remediation process. It is found that when the gas mixture O2/N2/H2O/NOx has no initial O2 content, the best NOx and N2O remediation is achieved.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000306279500005 Publication Date 2012-03-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 24 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:100920 Serial 842  
Permanent link to this record
 

 
Author (down) Tennyson, J.; Rahimi, S.; Hill, C.; Tse, L.; Vibhakar, A.; Akello-Egwel, D.; Brown, D.B.; Dzarasova, A.; Hamilton, J.R.; Jaksch, D.; Mohr, S.; Wren-Little, K.; Bruckmeier, J.; Agarwal, A.; Bartschat, K.; Bogaerts, A.; Booth, J.-P.; Goeckner, M.J.; Hassouni, K.; Itikawa, Y.; Braams, B.J.; Krishnakumar, E.; Laricchiuta, A.; Mason, N.J.; Pandey, S.; Petrovic, Z.L.; Pu, Y.-K.; Ranjan, A.; Rauf, S.; Schulze, J.; Turner, M.M.; Ventzek, P.; Whitehead, J.C.; Yoon, J.-S. url  doi
openurl 
  Title QDB: a new database of plasma chemistries and reactions Type A1 Journal article
  Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 26 Issue 26 Pages 055014  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract One of the most challenging and recurring problems when modeling plasmas is the lack of data on the key atomic and molecular reactions that drive plasma processes. Even when there are data for some reactions, complete and validated datasets of chemistries are rarely available. This hinders research on plasma processes and curbs development of industrial applications. The QDB project aims to address this problem by providing a platform for provision, exchange, and validation of chemistry datasets. A new data model developed for QDB is presented. QDB collates published data on both electron scattering and heavy-particle reactions. These data are formed into reaction sets, which are then validated against experimental data where possible. This process produces both complete chemistry sets and identifies key reactions that are currently unreported in the literature. Gaps in the datasets can be filled using established theoretical methods. Initial validated chemistry sets for SF6/CF4/O2 and SF6/CF4/N2/H2 are presented as examples.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000398394500001 Publication Date 2017-04-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 18 Open Access OpenAccess  
  Notes Approved Most recent IF: 3.302  
  Call Number PLASMANT @ plasmant @ c:irua:142206 Serial 4549  
Permanent link to this record
 

 
Author (down) Tennyson, J.; Mohr, S.; Hanicinec, M.; Dzarasova, A.; Smith, C.; Waddington, S.; Liu, B.; Alves, L.L.; Bartschat, K.; Bogaerts, A.; Engelmann, S.U.; Gans, T.; Gibson, A.R.; Hamaguchi, S.; Hamilton, K.R.; Hill, C.; O’Connell, D.; Rauf, S.; van ’t Veer, K.; Zatsarinny, O. url  doi
openurl 
  Title The 2021 release of the Quantemol database (QDB) of plasma chemistries and reactions Type A1 Journal article
  Year 2022 Publication Plasma Sources Science & Technology Abbreviated Journal Plasma Sources Sci T  
  Volume 31 Issue 9 Pages 095020  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The Quantemol database (QDB) provides cross sections and rates of processes important for plasma models; heavy particle collisions (chemical reactions) and electron collision processes are considered. The current version of QDB has data on 28 917 processes between 2485 distinct species plus data for surface processes. These data are available via a web interface or can be delivered directly to plasma models using an application program interface; data are available in formats suitable for direct input into a variety of popular plasma modeling codes including HPEM, COMSOL, ChemKIN, CFD-ACE+, and VisGlow. QDB provides ready assembled plasma chemistries plus the ability to build bespoke chemistries. The database also provides a Boltzmann solver for electron dynamics and a zero-dimensional model. Thesedevelopments, use cases involving O<sub>2</sub>, Ar/NF<sub>3</sub>, Ar/NF<sub>3</sub>/O<sub>2</sub>, and He/H<sub>2</sub>O/O<sub>2</sub>chemistries, and plans for the future are presented.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000895762200001 Publication Date 2022-09-01  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252 ISBN Additional Links UA library record; WoS full record  
  Impact Factor 3.8 Times cited Open Access OpenAccess  
  Notes Engineering and Physical Sciences Research Council, EP/N509577/1 ; Fundação para a Ciência e a Tecnologia, UIDB/50010/2020 ; Science and Technology Facilities Council, ST/K004069/1 ; National Science Foundation, OAC-1834740 ; Approved Most recent IF: 3.8  
  Call Number PLASMANT @ plasmant @c:irua:192845 Serial 7245  
Permanent link to this record
 

 
Author (down) Tchakoua, T.; Powell, A.D.; Gerrits, N.; Somers, M.F.; Doblhoff-Dier, K.; Busnengo, H.F.; Kroes, G.-J. url  doi
openurl 
  Title Simulating highly activated sticking of H₂ on Al(110) : quantum versus quasi-classical dynamics Type A1 Journal article
  Year 2023 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal  
  Volume 127 Issue 11 Pages 5395-5407  
  Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We evaluate the importance of quantum effects on the sticking of H2 on Al(110) for conditions that are close to those of molecular beam experiments that have been done on this system. Calculations with the quasi-classical trajectory (QCT) method and with quantum dynamics (QD) are performed using a model in which only motion in the six molecular degrees of freedom is allowed. The potential energy surface used has a minimum barrier height close to the value recently obtained with the quantum Monte Carlo method. Monte Carlo averaging over the initial rovibrational states allowed the QD calculations to be done with an order of magnitude smaller computational expense. The sticking probability curve computed with QD is shifted to lower energies relative to the QCT curve by 0.21 to 0.05 kcal/mol, with the highest shift obtained for the lowest incidence energy. Quantum effects are therefore expected to play a small role in calculations that would evaluate the accuracy of electronic structure methods for determining the minimum barrier height to dissociative chemisorption for H2 + Al(110) on the basis of the standard procedure for comparing results of theory with molecular beam experiments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000971346700001 Publication Date 2023-03-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1932-7447; 1932-7455 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.7 Times cited Open Access OpenAccess  
  Notes Approved Most recent IF: 3.7; 2023 IF: 4.536  
  Call Number UA @ admin @ c:irua:196071 Serial 8525  
Permanent link to this record
 

 
Author (down) Tchakoua, T.; Gerrits, N.; Smeets, E.W.F.; Kroes, G.-J. url  doi
openurl 
  Title SBH17 : benchmark database of barrier heights for dissociative chemisorption on transition metal surfaces Type A1 Journal article
  Year 2023 Publication Journal of chemical theory and computation Abbreviated Journal  
  Volume 19 Issue 1 Pages 245-270  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Accurate barriers for rate controlling elementary reactions on metal surfaces are key to understanding, controlling, and predicting the rate of heterogeneously catalyzed processes. While barrier heights for gas phase reactions have been extensively benchmarked, dissociative chemisorption barriers for the reactions of molecules on metal surfaces have received much less attention. The first database called SBH10 and containing 10 entries was recently constructed based on the specific reaction parameter approach to density functional theory (SRP-DFT) and experimental results. We have now constructed a new and improved database (SBH17) containing 17 entries based on SRP-DFT and experiments. For this new SBH17 benchmark study, we have tested three algorithms (high, medium, and light) for calculating barrier heights for dissociative chemisorption on metals, which we have named for the amount of computational effort involved in their use. We test the performance of 14 density functionals at the GGA, GGA+vdW-DF, and meta-GGA rungs. Our results show that, in contrast with the previous SBH10 study where the BEEF-vdW-DF2 functional seemed to be most accurate, the workhorse functional PBE and the MS2 density functional are the most accurate of the GGA and meta-GGA functionals tested. Of the GGA+vdW functionals tested, the SRP32-vdW-DF1 functional is the most accurate. Additionally, we found that the medium algorithm is accurate enough for assessing the performance of the density functionals tested, while it avoids geometry optimizations of minimum barrier geometries for each density functional tested. The medium algorithm does require metal lattice constants and interlayer distances that are optimized separately for each functional. While these are avoided in the light algorithm, this algorithm is found not to give a reliable description of functional performance. The combination of relative ease of use and demonstrated reliability of the medium algorithm will likely pave the way for incorporation of the SBH17 database in larger databases used for testing new density functionals and electronic structure methods.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000903286100001 Publication Date 2022-12-19  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1549-9618 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 5.5 Times cited Open Access OpenAccess  
  Notes Approved Most recent IF: 5.5; 2023 IF: 5.245  
  Call Number UA @ admin @ c:irua:193426 Serial 7274  
Permanent link to this record
 

 
Author (down) Taylor, P.R.; Martin, J.M.L.; François, J.P.; Gijbels, R. openurl 
  Title An ab initio study of the C3+ cation using multireference methods Type A1 Journal article
  Year 1991 Publication The journal of chemical physics Abbreviated Journal J Chem Phys  
  Volume 95 Issue Pages 6530-6534  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication New York, N.Y. Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0021-9606 ISBN Additional Links UA library record  
  Impact Factor 2.952 Times cited Open Access  
  Notes Approved no  
  Call Number UA @ lucian @ c:irua:720 Serial 39  
Permanent link to this record
 

 
Author (down) Tavernier, S.M.F.; Nies, E.; Gijbels, R. doi  openurl
  Title Hard-spere model for hydrodynamic chromatography systems Type A1 Journal article
  Year 1981 Publication Analytical proceedings Abbreviated Journal  
  Volume 18 Issue Pages 31-34  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos Publication Date 2004-03-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0144-557X; ISBN Additional Links UA library record  
  Impact Factor Times cited Open Access  
  Notes Approved no  
  Call Number UA @ lucian @ c:irua:110347 Serial 1409  
Permanent link to this record
 

 
Author (down) Tampieri, F.; Gorbanev, Y.; Sardella, E. url  doi
openurl 
  Title Plasma‐treated liquids in medicine: Let's get chemical Type A1 Journal Article
  Year 2023 Publication Plasma Processes and Polymers Abbreviated Journal Plasma Processes & Polymers  
  Volume 20 Issue 9 Pages e2300077  
  Keywords A1 Journal Article; Plasma, laser ablation and surface modeling Antwerp (PLASMANT) ;  
  Abstract Fundamental and applied research on plasma‐treated liquids for biomedical applications was boosted in the last few years, dictated by their advantages with respect to direct treatments. However, often, the lack of consistent analysis at a molecular level of these liquids, and of the processes used to produce them, have raised doubts of their usefulness in the clinic. The aim of this article is to critically discuss some basic aspects related to the use of plasma‐treated liquids in medicine, with a focus on their chemical composition. We analyze the main liquids used in the field, how they are affected by non‐thermal plasmas, and the possibility to replicate them without plasma treatment.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 001005060700001 Publication Date 2023-06-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.5 Times cited Open Access Not_Open_Access  
  Notes We thank COST Actions CA20114 (Therapeutical Applications of Cold Plasmas) and CA19110 (Plasma Applications for Smart and Sustainable Agriculture) for the stimulating environment provided. Francesco Tampieri wishes to thank Dr. Cristina Canal for the helpful discussion during the planning stage of this paper. Approved Most recent IF: 3.5; 2023 IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:197386 Serial 8814  
Permanent link to this record
 

 
Author (down) Tampieri, F.; Espona-Noguera, A.; Labay, C.; Ginebra, M.-P.; Yusupov, M.; Bogaerts, A.; Canal, C. pdf  url
doi  openurl
  Title Does non-thermal plasma modify biopolymers in solution? A chemical and mechanistic study for alginate Type A1 Journal Article
  Year 2023 Publication Biomaterials Science Abbreviated Journal  
  Volume Issue Pages  
  Keywords A1 Journal Article; Plasma, laser ablation and surface modeling Antwerp (PLASMANT) ;  
  Abstract In the last decades, non-thermal plasma has been extensively investigated as a relevant tool for various biomedical applications, ranging from tissue decontamination to regeneration and from skin treatment to tumor therapies. This high versatility is due to the different kinds and amount of reactive oxygen and nitrogen species that can be generated during a plasma treatment and put in contact with the biological target. Some recent studies report that solutions of biopolymers with the ability to generate hydrogels, when treated with plasma, can enhance the generation of reactive species and influence their stability, resulting thus in the ideal media for indirect treatments of biological targets. The direct effects of the plasma treatment on the structure of biopolymers in water solution, as well as the chemical mechanisms responsible for the enhanced generation of RONS, are not yet fully understood. In this study, we aim at filling this gap by investigating, on the one hand, the nature and extent of the modifications induced by plasma treatment in alginate solutions, and, on the other hand, at using this information to explain the mechanisms responsible for the enhanced generation of reactive species as a consequence of the treatment. The approach we use is twofold: (i) investigating the effects of plasma treatment on alginate solutions, by size exclusion chromatography, rheology and scanning electron microscopy and (ii) study of a molecular model (glucuronate) sharing its chemical structure, by chromatography coupled with mass spectrometry and by molecular dynamics simulations. Our results point out the active role of the biopolymer chemistry during direct plasma treatment. Short-lived reactive species, such as OH radicals and O atoms, can modify the polymer structure, affecting its functional groups and causing partial fragmentation. Some of these chemical modifications, like the generation of organic peroxide, are likely responsible for the secondary generation of long-lived reactive species such as hydrogen peroxide and nitrite ions. This is relevant in view of using biocompatible hydrogels as vehicles for storage and delivery reactive species for targeted therapies.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000973699000001 Publication Date 2023-04-11  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 2047-4830 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 6.6 Times cited Open Access Not_Open_Access  
  Notes Agència de Gestió d’Ajuts Universitaris i de Recerca, SGR2022-1368 ; H2020 European Research Council, 714793 ; European Cooperation in Science and Technology, CA19110 CA20114 ; Secretaría de Estado de Investigación, Desarrollo e Innovación, PID2019-103892RB-I00/AEI/10.13039/501100011033 ; We thank Gonzalo Rodríguez Cañada and Xavier Solé-Martí (Universitat Politècnica de Catalunya) for help in collecting some of the experimental data and for the useful discussions. This work has been primarily funded by the European Research Council (ERC) under the European Union’s Horizon 2020 research and innovation program (grant agreement no. 714793). The authors acknowledge MINECO for PID2019103892RB-I00/AEI/10.13039/501100011033 project (CC). The authors belong to SGR2022-1368 (FT, AEN, CL, MPG, CC) and acknowledge Generalitat de Catalunya for the ICREA Academia Award for Excellence in Research of CC. We thank also COST Actions CA20114 (Therapeutical Applications of Cold Plasmas) and CA19110 (Plasma Applications for Smart and Sustainable Agriculture) for the stimulating environment provided. Approved Most recent IF: 6.6; 2023 IF: 4.21  
  Call Number PLASMANT @ plasmant @c:irua:196773 Serial 8794  
Permanent link to this record
 

 
Author (down) Tambuyzer, B.R.; Bergwerf, I.; de Vocht, N.; Reekmans, K.; Daans, J.; Jorens, P.G.; Goossens, H.; Ysebaert, D.K.; Chatterjee, S.; Van Marck, E.; Berneman, Z.N.; Ponsaerts, P. doi  openurl
  Title Allogeneic stromal cell implantation in brain tissue leads to robust microglial activation Type A1 Journal article
  Year 2009 Publication Immunology and cell biology Abbreviated Journal Immunol Cell Biol  
  Volume Issue Pages  
  Keywords A1 Journal article; Antwerp Surgical Training, Anatomy and Research Centre (ASTARC); Laboratory Experimental Medicine and Pediatrics (LEMP); Bio-Imaging lab; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Although adult and embryonic stem cell-based therapy for central nervous system (CNS) injury is being developed worldwide, less attention is given to the immunological aspects of allogeneic cell implantation in the CNS. The latter is of major importance because, from a practical point of view, future stem cell-based therapy for CNS injury will likely be performed using well-characterised allogeneic stem cell populations. In this study, we aimed to further describe the immunological mechanism leading to rejection of allogeneic bone marrow-derived stromal cells (BM-SC) after implantation in murine CNS. For this, we first investigated the impact of autologous and allogeneic BM-SC on microglia activation in vitro. Although the results indicate that both autologous and allogeneic BM-SC do not activate microglia themselves in vitro, they also do not inhibit activation of microglia after exogenous stimuli in vitro. Next, we investigated the impact of allogeneic BM-SC on microglia activation in vivo. In contrast to the in vitro observations, microglia become highly activated in vivo after implantation of allogeneic BM-SC in the CNS of immune-competent mice. Moreover, our results suggest that microglia, rather than T-cells, are the major contributors to allograft rejection in the CNS.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Adelaide Editor  
  Language Wos 000266208800003 Publication Date 2009-03-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0818-9641 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.557 Times cited 31 Open Access  
  Notes Approved Most recent IF: 4.557; 2009 IF: 4.200  
  Call Number UA @ lucian @ c:irua:74903 Serial 4515  
Permanent link to this record
 

 
Author (down) Surmenev, R.A.; Grubova, I.Y.; Neyts, E.; Teresov, A.D.; Koval, N.N.; Epple, M.; Tyurin, A.I.; Pichugin, V.F.; Chaikina, M.V.; Surmeneva, M.A. pdf  url
doi  openurl
  Title Ab initio calculations and a scratch test study of RF-magnetron sputter deposited hydroxyapatite and silicon-containing hydroxyapatite coatings Type A1 Journal article
  Year 2020 Publication Surfaces and interfaces Abbreviated Journal  
  Volume 21 Issue Pages  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A crucial property for implants is their biocompatibility. To ensure biocompatibility, thin coatings of hydroxyapatite (HA) are deposited on the actual implant. In this study, we investigate the effects of the addition of silicate anions to the structure of hydroxyapatite coatings on their adhesion strength via a scratch test and ab initio calculations. We find that both the grain size and adhesion strength decrease with the increase in the silicon content in the HA coating (SiHA). The increase in the silicon content to 1.2 % in the HA coating leads to a decrease in the average crystallite size from 28 to 21 nm, and in the case of 4.6 %, it leads to the formation of an amorphous or nanocrystalline film. The decreases in the grain and crystallite sizes lead to peeling and destruction of the coating from the titanium substrate at lower loads. Further, our ab initio simulations demonstrate an increased number of molecular bonds at the amorphous SiHA-TiO2 interface. However, the experimental results revealed that the structure and grain size have more pronounced effects on the adhesion strength of the coatings. In conclusion, based on the results of the ab initio simulations and the experimental results, we suggest that the presence of Si in the form of silicate ions in the HA coating has a significant impact on the structure, grain size, and number of molecular bonds at the interface and on the adhesion strength of the SiHA coating to the titanium substrate.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000697616300009 Publication Date 2020-10-02  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 2468-0230 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 6.2 Times cited Open Access  
  Notes Approved Most recent IF: 6.2; 2020 IF: NA  
  Call Number UA @ admin @ c:irua:181685 Serial 7400  
Permanent link to this record
 

 
Author (down) Sun, S.R.; Wang, H.X.; Mei, D.H.; Tu, X.; Bogaerts, A. pdf  url
doi  openurl
  Title CO2 conversion in a gliding arc plasma: Performance improvement based on chemical reaction modeling Type A1 Journal article
  Year 2017 Publication Journal of CO2 utilization Abbreviated Journal J Co2 Util  
  Volume 17 Issue 17 Pages 220-234  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract CO2 conversion into value-added chemicals is gaining increasing interest in recent years, and a gliding arc plasma has great potential for this purpose, because of its high energy efficiency. In this study, a chemical reaction kinetics model is presented to study the CO2 splitting in a gliding arc discharge. The calculated

conversion and energy efficiency are in good agreement with experimental data in a range of different operating conditions. Therefore, this reaction kinetics model can be used to elucidate the dominant chemical reactions contributing to CO2 destruction and formation. Based on this reaction pathway analysis, the restricting factors for CO2 conversion are figured out, i.e., the reverse reactions and the small treated gas fraction. This allows us to propose some solutions in order to improve the CO2 conversion, such as decreasing the gas temperature, by using a high frequency discharge, or increasing the power

density, by using a micro-scale gliding arc reactor, or by removing the reverse reactions, which could be realized in practice by adding possible scavengers for O atoms, such as CH4. Finally, we compare our results with other types of plasmas in terms of conversion and energy efficiency, and the results illustrate that gliding arc discharges are indeed quite promising for CO2 conversion, certainly when keeping in mind the possible solutions for further performance improvement.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000393928500023 Publication Date 2016-12-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 2212-9820 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.292 Times cited 41 Open Access Not_Open_Access  
  Notes We acknowledge financial support from the IAP/7 (Inter- university Attraction Pole) program ‘PSI-Physical Chemistry of Plasma-Surface Interactions’ by the Belgian Federal Office for Science Policy (BELSPO) and the Fund for Scientific Research Flanders (FWO; Grant no. G.0383.16N). The calculations were carried out using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the UAntwerpen. This work is also supported by National Natural Science Foundation of China (grant nos. 11275021, 11575019). S R Sun thanks the financial support from the China Scholarship Council (CSC). Approved Most recent IF: 4.292  
  Call Number PLASMANT @ plasmant @ c:irua:138986 Serial 4332  
Permanent link to this record
 

 
Author (down) Sun, S.R.; Wang, H.X.; Bogaerts, A. pdf  url
doi  openurl
  Title Chemistry reduction of complex CO2chemical kinetics: application to a gliding arc plasma Type A1 Journal article
  Year 2020 Publication Plasma Sources Science & Technology Abbreviated Journal Plasma Sources Sci T  
  Volume 29 Issue 2 Pages 025012  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A gliding arc (GA) plasma has great potential for CO2 conversion into value-added chemicals, because of its high energy efficiency. To improve the application, a 2D/3D fluid model is needed to investigate the CO2 conversion mechanisms in the actual discharge geometry. Therefore, the complex CO2 chemical kinetics description must be reduced due to the huge computational cost associated with 2D/3D models. This paper presents a chemistry reduction method for CO2 plasmas, based on the so-called directed relation graph method. Depending on the defined threshold values, some marginal species are identified. By means of a sensitivity analysis, we can further reduce the chemistry set by removing one by one the marginal species. Based on the socalled flux-sensitivity coupling, we obtain a reduced CO2 kinetics model, consisting of 36 or 15 species (depending on whether the 21 asymmetric mode vibrational states of CO2 are explicitly included or lumped into one group), which is applied to a GA discharge. The results are compared with those predicted with the full chemistry set, and very good agreement is reached. Moreover, the range of validity of the reduced CO2 chemistry set is checked, telling us that this reduced set is suitable for low power GA discharges. Finally, the time and spatial evolution of the CO2 plasma characteristics are presented, based on a 2D model with the reduced kinetics.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000525600600001 Publication Date 2020-02-11  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.8 Times cited Open Access  
  Notes We acknowledge financial support from the Fund for Scientific Research Flanders (FWO; Grant No. G.0383.16 N). The calculations were performed using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the UAntwerpen. This work was also supported by the National Natural Science Foundation of China. (Grant Nos. 11735004, 11575019). SR Sun thanks the financial support from the National Postdoctoral Program for Innovative Talents (BX20180029). Approved Most recent IF: 3.8; 2020 IF: 3.302  
  Call Number PLASMANT @ plasmant @c:irua:167135 Serial 6338  
Permanent link to this record
 

 
Author (down) Sun, S.R.; Kolev, S.; Wang, H.X.; Bogaerts, A. pdf  url
doi  openurl
  Title Coupled gas flow-plasma model for a gliding arc: investigations of the back-breakdown phenomenon and its effect on the gliding arc characteristics Type A1 Journal article
  Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 26 Issue 26 Pages 015003  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We present a 3D and 2D Cartesian quasi-neutral plasma model for a low current argon gliding arc discharge, including strong interactions between the gas flow and arc plasma column.

The 3D model is applied only for a short time of 0.2 ms due to its huge computational cost. It mainly serves to verify the reliability of the 2D model. As the results in 2D compare well with those in 3D, they can be used for a better understanding of the gliding arc basic characteristics. More specifically, we investigate the back-breakdown phenomenon induced by an artificially controlled plasma channel, and we discuss its effect on the gliding arc characteristics. The

back-breakdown phenomenon, or backward-jump motion of the arc, as observed in the experiments, results in a drop of the gas temperature, as well as in a delay of the arc velocity with respect to the gas flow velocity, allowing more gas to pass through the arc, and thus increasing the efficiency of the gliding arc for gas treatment applications.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000419253000001 Publication Date 2016-11-22  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 9 Open Access OpenAccess  
  Notes This work is financially supported by the Methusalem financing, by the Fund for Scientific Research Flanders (FWO) and by the IAP/7 (Inter-university Attraction Pole) program ‘Physical Chemistry of Plasma-Surface Interactions’ from the Belgian Federal Office for Science Policy (BELSPO). The work was carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. This work was also supported by the National Natural Science Foundation of China (Grant Nos. 11275021, 11575019). S R Sun thanks the financial support from the China Scholarship Council. Approved Most recent IF: 3.302  
  Call Number PLASMANT @ plasmant @ c:irua:138993 Serial 4337  
Permanent link to this record
 

 
Author (down) Sun, S.R.; Kolev, S.; Wang, H.X.; Bogaerts, A. pdf  url
doi  openurl
  Title Investigations of discharge and post-discharge in a gliding arc: a 3D computational study Type A1 Journal article
  Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 26 Issue 26 Pages 055017  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this study we quantitatively investigate for the first time the plasma characteristics of an argon gliding arc with a 3D model. The model is validated by comparison with available experimental data from literature and a reasonable agreement is obtained for the calculated gas temperature and electron density. A complete arc cycle is modeled from initial ignition to arc decay. We investigate how the plasma characteristics, i.e., the electron temperature, gas temperature,

reduced electric field, and the densities of electrons, Ar+ and Ar2+ ions and Ar(4s) excited states, vary over one complete arc cycle, including their behavior in the discharge and post-discharge. These plasma characteristics exhibit a different evolution over one arc cycle, indicating that either the active discharge stage or the post-discharge stage can be beneficial for certain applications.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000399278100002 Publication Date 2017-04-05  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 11 Open Access OpenAccess  
  Notes This work is financially supported by the Methusalem financing, by the Fund for Scientific Research Flanders (FWO) and by the IAP/7 (Inter-university Attraction Pole) program ‘Physical Chemistry of Plasma-Surface Interactions’ from the Belgian Federal Office for Science Policy (BELSPO). The work was carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. This work was also supported by the National Natural Science Foundation of China (Grant Nos. 11275021, 11575019). SR Sun thanks the financial support from the China Scholarship Council (CSC). Approved Most recent IF: 3.302  
  Call Number PLASMANT @ plasmant @ c:irua:142204 Serial 4550  
Permanent link to this record
 

 
Author (down) Sun, S. openurl 
  Title Study of carbon dioxide dissociation mechanisms in a gliding arc discharge Type Doctoral thesis
  Year 2018 Publication Abbreviated Journal  
  Volume Issue Pages  
  Keywords Doctoral thesis; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Beihang University, School of Astronautics Place of Publication Beijing Editor  
  Language Wos Publication Date  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record;  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:149824 Serial 4950  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: