toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author Gorbanev, Y.; Verlackt, C.C.W.; Tinck, S.; Tuenter, E.; Foubert, K.; Cos, P.; Bogaerts, A. pdf  url
doi  openurl
  Title Combining experimental and modelling approaches to study the sources of reactive species induced in water by the COST RF plasma jet Type A1 Journal article
  Year (down) 2018 Publication Physical chemistry, chemical physics Abbreviated Journal Phys Chem Chem Phys  
  Volume 20 Issue 4 Pages 2797-2808  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The vast biomedical potential of cold atmospheric pressure plasmas (CAPs) is governed by the formation of reactive species. These biologically active species are formed upon the interaction of CAPs with the surroundings. In biological milieu, water plays an essential role. The development of biomedical CAPs thus requires understanding of the sources of the reactive species in aqueous media exposed to the plasma. This is especially important in case of the COST RF plasma jet, which is developed as a reference microplasma system. In this work, we investigated the formation of the OH radicals, H atoms and H2O2 in aqueous solutions exposed to the COST plasma jet. This was done by combining experimental and modelling approaches. The liquid phase species were analysed using UV-Vis spectroscopy and spin trapping with hydrogen isotopes and electron paramagnetic resonance (EPR) spectroscopy. The discrimination between the species formed from the liquid phase and the gas phase molecules was performed by EPR and 1H-NMR analyses of the liquid samples. The concentrations of the reactive species in the gas phase plasma were obtained using a zero-dimensional (0D) chemical kinetics computational model. A three-dimensional (3D) fluid dynamics model was developed to provide information on the induced humidity in the plasma effluent. The comparison of the experimentally obtained trends for the formation of the species as a function of the feed gas and effluent humidity with the modelling results suggest that all reactive species detected in our system are mostly formed in the gas phase plasma inside the COST jet, with minor amounts arising from the plasma effluent humidity.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000423505500066 Publication Date 2018-01-05  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1463-9076 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.123 Times cited 23 Open Access OpenAccess  
  Notes We are grateful to Volker Schulz-von der Gathen (Experimental Physics II: Application Oriented Plasma Physics, Ruhr-Universita¨t Bochum, Germany) for providing the COST RF plasma jet. We thank our colleagues at the University of Antwerp: Gilles Van Loon (Mechanical Workshop), Karen Leyssens (Research group PLASMANT), and Sylvia Dewilde (Department of Biomedical Sciences) for their help with the equipment. This work was funded by the European Marie Sklodowska-Curie Individual Fellowship ‘LTPAM’ within Horizon2020 (grant no. 657304). Stefan Tinck thanks the Fund for Scientific Research – Flanders (FWO) for supporting his work (grant no. 0880.212.840). Approved Most recent IF: 4.123  
  Call Number PLASMANT @ plasmant @c:irua:148365 Serial 4808  
Permanent link to this record
 

 
Author Zhang, Q.-Z.; Tinck, S.; de Marneffe, J.-F.; Zhang, L.; Bogaerts, A. pdf  url
doi  openurl
  Title Mechanisms for plasma cryogenic etching of porous materials Type A1 Journal article
  Year (down) 2017 Publication Applied physics letters Abbreviated Journal Appl Phys Lett  
  Volume 111 Issue 17 Pages 173104  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Porous materials are commonly used in microelectronics, as they can meet the demand for continuously shrinking electronic feature dimensions. However, they are facing severe challenges in plasma etching, due to plasma induced damage. In this paper, we present both the plasma characteristics and surface processing during the etching of porous materials. We explain how the damage occurs in the porous material during plasma etching for a wide range of chuck temperatures and the responsible mechanism for plasma damage-free etching at cryogenic temperature, by a combination of experiments and numerical modeling.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000413863400032 Publication Date 2017-10-23  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0003-6951 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.411 Times cited 2 Open Access OpenAccess  
  Notes We acknowledge the support from Marie Skłodowska- Curie actions (Grant Agreement-702604). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI), and the University of Antwerp. L. Zhang and J.-F. de Marneffe acknowledge Dr. M. Cooke and A. Goodyear from Oxford Instruments Plasma Technology for processing the samples at their Yatton facility in the United Kingdom. Approved Most recent IF: 3.411  
  Call Number PLASMANT @ plasmant @c:irua:147022 Serial 4762  
Permanent link to this record
 

 
Author Ishikawa, K.; Karahashi, K.; Ichiki, T.; Chang, J.P.; George, S.M.; Kessels, W.M.M.; Lee, H.J.; Tinck, S.; Um, J.H.; Kinoshita, K. pdf  url
doi  openurl
  Title Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? Type A1 Journal article
  Year (down) 2017 Publication Japanese journal of applied physics Abbreviated Journal Jpn J Appl Phys  
  Volume 56 Issue 56 Pages 06HA02  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this review, we discuss the progress of emerging dry processes for nanoscale fabrication. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands in achieving atomic-level control of material selectivity and physicochemical reactions involving ion bombardment. The discussion encompasses major challenges shared across the plasma science and technology community. Focus is placed on advances in the development of fabrication technologies for emerging materials, especially metallic and intermetallic compounds and multiferroic, and two-dimensional (2D) materials, as well as state-of-the-art techniques used in nanoscale semiconductor manufacturing with a brief summary of future challenges.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000425887900001 Publication Date 2017-06-01  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0021-4922 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 1.384 Times cited 18 Open Access OpenAccess  
  Notes The authors would like to thank Drs. Masanobu Honda, Miyako Matsui, Tomohiro Okumura, Tetsuya Tatsumi, Satoshi Hamaguchi, Hiroto Ohtake, Yoshinobu Ohya, Kazunori Shinoda, Masaru Izawa, Hisataka Hayashi, Toshio Hayashi, Makoto Sekine, and Masaru Hori, and all members of the Program and Publication Committee of the 38th International Symposium on Dry Process 2016 held in Sapporo, Japan, as well as Nicholas Altieri and Jeffrey Chang at UCLA for proofreading and providing feedback on the manuscript. Approved Most recent IF: 1.384  
  Call Number PLASMANT @ plasmant @ c:irua:143872 Serial 4576  
Permanent link to this record
 

 
Author Tinck, S.; Tillocher, T.; Georgieva, V.; Dussart, R.; Neyts, E.; Bogaerts, A. pdf  url
doi  openurl
  Title Concurrent effects of wafer temperature and oxygen fraction on cryogenic silicon etching with SF6/O2plasmas Type A1 Journal article
  Year (down) 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 9 Pages 1700018  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cryogenic plasma etching is a promising technique for high-control wafer development with limited plasma induced damage. Cryogenic wafer temperatures effectively reduce surface damage during etching, but the fundamental mechanism is not well understood. In this study, the influences of wafer temperature, gas mixture and substrate bias on the (cryogenic) etch rates of Si with SF6/O2 inductively coupled plasmas are experimentally and computationally investigated. The etch rates are measured in situ with double-point reflectometry and a hybrid computational Monte Carlo – fluid model is applied to calculate plasma properties. This work allows the reader to obtain a better insight in the effects of wafer temperature on the etch rate and to find operating conditions for successful anisotropic (cryo)etching.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000410773200012 Publication Date 2017-04-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited Open Access Not_Open_Access  
  Notes Fonds Wetenschappelijk Onderzoek, 0880.212.840 ; Hercules Foundation; Flemish Government (Department EWI); Universiteit Antwerpen; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:145637 Serial 4708  
Permanent link to this record
 

 
Author Tinck, S.; Tillocher, T.; Dussart, R.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Elucidating the effects of gas flow rate on an SF6inductively coupled plasma and on the silicon etch rate, by a combined experimental and theoretical investigation Type A1 Journal article
  Year (down) 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 385201  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Experiments show that the etch rate of Si with SF6 inductively coupled plasma (ICP) is significantly influenced by the absolute gas flow rate in the range of 50–600 sccm, with a maximum at around 200 sccm. Therefore, we numerically investigate the effects of the gas flow rate on the bulk plasma properties and on the etch rate, to obtain more insight in the underlying reasons of this effect. A hybrid Monte Carlo—fluid model is applied to simulate an SF6 ICP. It is found that the etch rate is influenced by two simultaneous effects: (i) the residence time of the gas and (ii) the temperature profile of the plasma in the ICP volume, resulting indeed in a maximum etch rate at 200 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000384095900011 Publication Date 2016-08-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 1 Open Access  
  Notes We are very grateful to Mark Kushner for providing the computational model. The Fund for Scientific Research Flanders (FWO; grant no. 0880.212.840) is acknowledged for financial support of this work. The work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:134867 Serial 4108  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Role of vibrationally excited HBr in a HBr/He inductively coupled plasma used for etching of silicon Type A1 Journal article
  Year (down) 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 245204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this work, the role of vibrationally excited HBr (HBr(vib)) is computationally investigated for a HBr/He inductively coupled plasma applied for Si etching. It is found that at least 50% of all dissociations of HBr occur through HBr(vib). This additional dissociation pathway through HBr(vib) makes the plasma significantly more atomic. It also results in a slightly higher electron temperature (i.e. about 0.2 eV higher compared to simulation results where HBr(vib) is not included), as well as a higher gas temperature (i.e. about 50 K higher than without including HBr(vib)), due to the enhanced Franck–Condon heating through HBr(vib) dissociation,

at the conditions investigated. Most importantly, the calculated etch rate with HBr(vib) included in the model is a factor 3 higher than in the case without HBr(vib), due to the higher fluxes of etching species (i.e. H and Br), while the chemical composition of the wafer surface shows no significant difference. Our calculations clearly show the importance of including HBr(vib) for accurate modeling of HBr-containing plasmas.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000377427100020 Publication Date 2016-05-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited Open Access  
  Notes The Fund for Scientific Research Flanders (FWO) is acknowledged for financial support of this work (Grant no. 0880.212.840). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Prof. Mark Kushner is also gratefully acknowledged for the useful discussions and for providing the HPEM code. Approved Most recent IF: 2.588  
  Call Number c:irua:133457 Serial 4072  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry Type A1 Journal article
  Year (down) 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue 49 Pages 195203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, H2 + and H3 + are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a

higher fraction results in less chemical etching but more (physical) sputtering.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000375255500017 Publication Date 2016-04-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 5 Open Access  
  Notes We acknowledge the Fund for Scientific Research Flanders (FWO) for financial support of this work. This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:132890 Serial 4062  
Permanent link to this record
 

 
Author Tinck, S.; Tillocher, T.; Dussart, R.; Bogaerts, A. pdf  url
doi  openurl
  Title Cryogenic etching of silicon with SF6 inductively coupled plasmas: a combined modelling and experimental study Type A1 Journal article
  Year (down) 2015 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 155204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid Monte Carlofluid model is applied to simulate the wafer-temperature-dependent etching of silicon with SF6 inductively coupled plasmas (ICP). The bulk plasma within the ICP reactor volume as well as the surface reactions occurring at the wafer are self-consistently described. The calculated etch rates are validated by experiments. The calculations and experiments are performed at two different wafer temperatures, i.e. 300 and 173 K, resembling conventional etching and cryoetching, respectively. In the case of cryoetching, a physisorbed SFx layer (x = 06) is formed on the wafer, which is negligible at room temperature, because of fast thermal desorption, However, even in the case of cryoetching, this layer can easily be disintegrated by low-energy ions, so it does not affect the etch rates. In the investigated pressure range of 19 Pa, the etch rate is always slightly higher at cryogenic conditions, both in the experiments and in the model, and this could be explained in the model due to a local cooling of the gas above the wafer, making the gas denser and increasing the flux of reactive neutrals, like F and F2, towards the wafer.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000351856600009 Publication Date 2015-03-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 9 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number c:irua:124209 Serial 551  
Permanent link to this record
 

 
Author Zhang, Y.-R.; Tinck, S.; De Schepper, P.; Wang, Y.-N.; Bogaerts, A. url  doi
openurl 
  Title Modeling and experimental investigation of the plasma uniformity in CF4/O2 capacitively coupled plasmas, operating in single frequency and dual frequency regime Type A1 Journal article
  Year (down) 2015 Publication Journal of vacuum science and technology: A: vacuum surfaces and films Abbreviated Journal J Vac Sci Technol A  
  Volume 33 Issue 33 Pages 021310  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A two-dimensional hybrid Monte Carlofluid model, incorporating a full-wave solution of Maxwell's equations, is employed to describe the behavior of high frequency (HF) and very high frequency capacitively coupled plasmas (CCPs), operating both at single frequency (SF) and dual frequency (DF) in a CF4/O2 gas mixture. First, the authors investigate the plasma composition, and the simulations reveal that besides CF4 and O2, also COF2, CF3, and CO2 are important neutral species, and CF+3 and F− are the most important positive and negative ions. Second, by comparing the results of the model with and without taking into account the electromagnetic effects for a SF CCP, it is clear that the electromagnetic effects are important, both at 27 and 60 MHz, because they affect the absolute values of the calculation results and also (to some extent) the spatial profiles, which accordingly affects the uniformity in plasma processing. In order to improve the plasma radial uniformity, which is important for the etch process, a low frequency (LF) source is added to the discharge. Therefore, in the major part of the paper, the plasma uniformity is investigated for both SF and DF CCPs, operating at a HF of 27 and 60 MHz and a LF of 2 MHz. For this purpose, the authors measure the etch rates as a function of position on the wafer in a wide range of LF powers, and the authors compare them with the calculated fluxes toward the wafer of the plasma species playing a role in the etch process, to explain the trends in the measured etch rate profiles. It is found that at a HF of 60 MHz, the uniformity of the etch rate is effectively improved by adding a LF power of 2 MHz and 300 W, while its absolute value increases by about 50%, thus a high etch rate with a uniform distribution is observed under this condition.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication New York, N.Y. Editor  
  Language Wos 000355739500026 Publication Date 2015-01-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0734-2101;1520-8559; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 1.374 Times cited 3 Open Access  
  Notes Approved Most recent IF: 1.374; 2015 IF: 2.322  
  Call Number c:irua:122650 Serial 2107  
Permanent link to this record
 

 
Author Gul, B.; Tinck, S.; De Schepper, P.; Aman-ur-Rehman; Bogaerts, A. pdf  url
doi  openurl
  Title Numerical investigation of HBr/He transformer coupled plasmas used for silicon etching Type A1 Journal article
  Year (down) 2015 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 48 Issue 48 Pages 025202  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A two-dimensional hybrid Monte Carlofluid model is applied to study HBr/He inductively coupled plasmas used for etching of Si. Complete sets of gas-phase and surface reactions are presented and the effects of the gas mixing ratio on the plasma characteristics and on the etch rates are discussed. A comparison with experimentally measured etch rates is made to validate the modelling results. The etch rate in the HBr plasma is found to be quite low under the investigated conditions compared to typical etch rates of Si with F- or Cl-containing gases. This allows for a higher control and fine-tuning of the etch rate when creating ultra-small features. Our calculations predict a higher electron temperature at higher He fraction, because the electrons do not lose their energy so efficiently in vibrational and rotational excitations. As a consequence, electron impact ionization and dissociation become more important, yielding higher densities of ions, electrons and H atoms. This results in more pronounced sputtering of the surface. Nevertheless, the overall etch rate decreases upon increasing He fraction, suggesting that chemical etching is still the determining factor for the overall etch rate.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000347980100011 Publication Date 2014-12-10  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 7 Open Access  
  Notes Approved Most recent IF: 2.588; 2015 IF: 2.721  
  Call Number c:irua:121335 Serial 2394  
Permanent link to this record
 

 
Author Tinck, S.; Neyts, E.C.; Bogaerts, A. url  doi
openurl 
  Title Fluorinesilicon surface reactions during cryogenic and near room temperature etching Type A1 Journal article
  Year (down) 2014 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal J Phys Chem C  
  Volume 118 Issue 51 Pages 30315-30324  
  Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cyrogenic etching of silicon is envisaged to enable better control over plasma processing in the microelectronics industry, albeit little is known about the fundamental differences compared to the room temperature process. We here present molecular dynamics simulations carried out to obtain sticking probabilities, thermal desorption rates, surface diffusion speeds, and sputter yields of F, F2, Si, SiF, SiF2, SiF3, SiF4, and the corresponding ions on Si(100) and on SiF13 surfaces, both at cryogenic and near room temperature. The different surface behavior during conventional etching and cryoetching is discussed. F2 is found to be relatively reactive compared to other species like SiF03. Thermal desorption occurs at a significantly lower rate under cryogenic conditions, which results in an accumulation of physisorbed species. Moreover, ion incorporation is often observed for ions with energies of 30400 eV, which results in a relatively low net sputter yield. The obtained results suggest that the actual etching of Si, under both cryogenic and near room temperature conditions, is based on the complete conversion of the Si surface to physisorbed SiF4, followed by subsequent sputtering of these molecules, instead of direct sputtering of the SiF03 surface.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Washington, D.C. Editor  
  Language Wos 000347360200101 Publication Date 2014-11-25  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1932-7447;1932-7455; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.536 Times cited 11 Open Access  
  Notes Approved Most recent IF: 4.536; 2014 IF: 4.772  
  Call Number UA @ lucian @ c:irua:122957 Serial 1239  
Permanent link to this record
 

 
Author Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
  Year (down) 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 11 Issue 1 Pages 52-62  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000330588800006 Publication Date 2013-11-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 1 Open Access  
  Notes Approved Most recent IF: 2.846; 2014 IF: 2.453  
  Call Number UA @ lucian @ c:irua:115735 Serial 1256  
Permanent link to this record
 

 
Author Tinck, S.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
  Year (down) 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 10 Issue 8 Pages 714-730  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000327790000006 Publication Date 2013-05-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 3 Open Access  
  Notes Approved Most recent IF: 2.846; 2013 IF: 2.964  
  Call Number UA @ lucian @ c:irua:109900 Serial 2397  
Permanent link to this record
 

 
Author Van Laer, K.; Tinck, S.; Samara, V.; de Marneffe, J.F.; Bogaerts, A. pdf  doi
openurl 
  Title Etching of low-k materials for microelectronics applications by means of a N2/H2 plasma : modeling and experimental investigation Type A1 Journal article
  Year (down) 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 22 Issue 2 Pages 025011-25019  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, we investigate the etch process of so-called low-k organic material by means of a N2/H2 capacitively coupled plasma, as applied in the micro-electronics industry for the manufacturing of computer chips. In recent years, such an organic material has emerged as a possible alternative for replacing bulk SiO2 as a dielectric material in the back-end-of-line, because of the smaller parasitic capacity between adjacent conducting lines, and thus a faster propagation of the electrical signals throughout the chip. Numerical simulations with a hybrid plasma model, using an extensive plasma and surface chemistry set, as well as experiments are performed, focusing on the plasma properties as well as the actual etching process, to obtain a better insight into the underlying mechanisms. Furthermore, the effects of gas pressure, applied power and gas composition are investigated to try to optimize the etch process. In general, the plasma density reaches a maximum near the wafer edge due to the so-called 'edge effect'. As a result, the etch rate is not uniform but will also reach its maximum near the wafer edge. The pressure seems not to have a big effect. A higher power increases the etch rate, but the uniformity becomes (slightly) worse. The gas mixing ratio has no significant effect on the etch process, except when a pure H2 or N2 plasma is used, illustrating the synergistic effects of a N2/H2 plasma. In fact, our calculations reveal that the N2/H2 plasma entails an ion-enhanced etch process. The simulation results are in reasonable agreement with the experimental values. The microscopic etch profile shows the desired anisotropic shape under all conditions under study.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000317275400013 Publication Date 2013-03-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 13 Open Access  
  Notes Approved Most recent IF: 3.302; 2013 IF: 3.056  
  Call Number UA @ lucian @ c:irua:106654 Serial 1084  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
  Year (down) 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 5 Pages 522-539  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000303858100010 Publication Date 2012-03-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:99127 Serial 2142  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
  Year (down) 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 4 Pages 045012-045012,19  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000295829800014 Publication Date 2011-06-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 22 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:91045 Serial 2141  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A.; Shamiryan, D. doi  openurl
  Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
  Year (down) 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 8 Issue 6 Pages 490-499  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000292116800003 Publication Date 2011-03-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2011 IF: 2.468  
  Call Number UA @ lucian @ c:irua:90926 Serial 3014  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. doi  openurl
  Title Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition Type A1 Journal article
  Year (down) 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 1 Pages 015008-015008,10  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, an O2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al2O3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O2, while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000286592200009 Publication Date 2011-01-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 11 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:85285 Serial 467  
Permanent link to this record
 

 
Author Tinck, S. openurl 
  Title Numerical simulations of inductively coupled plasmas for applications in the microelectronics industry Type Doctoral thesis
  Year (down) 2011 Publication Abbreviated Journal  
  Volume Issue Pages  
  Keywords Doctoral thesis; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Antwerpen Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:92051 Serial 2406  
Permanent link to this record
 

 
Author Gou, F.; Neyts, E.; Eckert, M.; Tinck, S.; Bogaerts, A. doi  openurl
  Title Molecular dynamics simulations of Cl+ etching on a Si(100) surface Type A1 Journal article
  Year (down) 2010 Publication Journal of applied physics Abbreviated Journal J Appl Phys  
  Volume 107 Issue 11 Pages 113305,1-113305,6  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Molecular dynamics simulations using improved TersoffBrenner potential parameters were performed to investigate Cl+ etching of a {2×1} reconstructed Si(100) surface. Steady-state Si etching accompanying the Cl coverage of the surface is observed. Furthermore, a steady-state chlorinated reaction layer is formed. The thickness of this reaction layer is found to increase with increasing energy. The stoichiometry of SiClx species in the reaction layer is found to be SiCl:SiCl2:SiCl3 = 1.0:0.14:0.008 at 50 eV. These results are in excellent agreement with available experimental data. While elemental Si products are created by physical sputtering, most SiClx (0<x<4) etch products are produced by chemical-enhanced physical sputtering.  
  Address  
  Corporate Author Thesis  
  Publisher American Institute of Physics Place of Publication New York, N.Y. Editor  
  Language Wos 000278907100018 Publication Date 2010-06-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0021-8979; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.068 Times cited 15 Open Access  
  Notes Approved Most recent IF: 2.068; 2010 IF: 2.079  
  Call Number UA @ lucian @ c:irua:82663 Serial 2175  
Permanent link to this record
 

 
Author Bogaerts, A.; De Bie, C.; Eckert, M.; Georgieva, V.; Martens, T.; Neyts, E.; Tinck, S. pdf  doi
openurl 
  Title Modeling of the plasma chemistry and plasmasurface interactions in reactive plasmas Type A1 Journal article
  Year (down) 2010 Publication Pure and applied chemistry Abbreviated Journal Pure Appl Chem  
  Volume 82 Issue 6 Pages 1283-1299  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, an overview is given of modeling activities going on in our research group, for describing the plasma chemistry and plasmasurface interactions in reactive plasmas. The plasma chemistry is calculated by a fluid approach or by hybrid Monte Carlo (MC)fluid modeling. An example of both is illustrated in the first part of the paper. The example of fluid modeling is given for a dielectric barrier discharge (DBD) in CH4/O2, to describe the partial oxidation of CH4 into value-added chemicals. The example of hybrid MCfluid modeling concerns an inductively coupled plasma (ICP) etch reactor in Ar/Cl2/O2, including also the description of the etch process. The second part of the paper deals with the treatment of plasmasurface interactions on the atomic level, with molecular dynamics (MD) simulations or a combination of MD and MC simulations.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000279063900010 Publication Date 2010-04-21  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1365-3075;0033-4545; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.626 Times cited 13 Open Access  
  Notes Approved Most recent IF: 2.626; 2010 IF: 2.134  
  Call Number UA @ lucian @ c:irua:82108 Serial 2134  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Investigation of etching and deposition processes of Cl2/O2/Ar inductively coupled plasmas on silicon by means of plasmasurface simulations and experiments Type A1 Journal article
  Year (down) 2009 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 42 Issue Pages 095204,1-095204,13  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, a simulation method is described to predict the etching behaviour of Cl2/O2/Ar inductively coupled plasmas on a Si substrate, as used in shallow trench isolation for the production of electronic devices. The hybrid plasma equipment model (HPEM) developed by Kushner et al is applied to calculate the plasma characteristics in the reactor chamber and two additional Monte Carlo simulations are performed to predict the fluxes, angles and energy of the plasma species bombarding the Si substrate, as well as the resulting surface processes such as etching and deposition. The simulations are performed for a wide variety of operating conditions such as gas composition, chamber pressure, power deposition and substrate bias. It is predicted by the simulations that when the fraction of oxygen in the gas mixture is too high, the oxidation of the Si substrate is superior to the etching of Si by chlorine species, resulting in an etch rate close to zero as is also observed in the experiments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000265531000030 Publication Date 2009-04-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 23 Open Access  
  Notes Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:75601 Serial 1731  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
  Year (down) 2008 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 41 Issue 6 Pages 065207,1-14  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000254153900022 Publication Date 2008-02-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 31 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:67019 Serial 3010  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: