toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author Bogaerts, A.; Khosravian, N.; Van der Paal, J.; Verlackt, C.C.W.; Yusupov, M.; Kamaraj, B.; Neyts, E.C. pdf  url
doi  openurl
  Title Multi-level molecular modelling for plasma medicine Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue (up) 49 Pages 054002  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modelling at the molecular or atomic scale can be very useful for obtaining a better insight in plasma medicine. This paper gives an overview of different atomic/molecular scale modelling approaches that can be used to study the direct interaction of plasma species with biomolecules or the consequences of these interactions for the biomolecules on a somewhat longer time-scale. These approaches include density functional theory (DFT), density functional based tight binding (DFTB), classical reactive and non-reactive molecular dynamics (MD) and united-atom or coarse-grained MD, as well as hybrid quantum mechanics/molecular mechanics (QM/MM) methods. Specific examples will be given for three important types of biomolecules, present in human cells, i.e. proteins, DNA and phospholipids found in the cell membrane. The results show that each of these modelling approaches has its specific strengths and limitations, and is particularly useful for certain applications. A multi-level approach is therefore most suitable for obtaining a global picture of the plasma–biomolecule interactions.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000368944100003 Publication Date 2015-12-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 11 Open Access  
  Notes This work is financially supported by the Fund for Scientific Research Flanders (FWO) and the Francqui Foundation. The calculations were carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. Approved Most recent IF: 2.588  
  Call Number c:irua:131571 Serial 3985  
Permanent link to this record
 

 
Author Kozák, T.; Vlček, J. pdf  url
doi  openurl
  Title A parametric model for reactive high-power impulse magnetron sputtering of films Type A1 Journal article
  Year 2016 Publication Journal Of Physics D-Applied Physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue (up) 49 Pages 055202  
  Keywords A1 Journal article; Electron Microscopy for Materials Science (EMAT);  
  Abstract We present a time-dependent parametric model for reactive HiPIMS deposition of films. Specific features of HiPIMS discharges and a possible increase in the density of the reactive gas in front of the reactive gas inlets placed between the target and the substrate are considered in the model. The model makes it possible to calculate the compound fractions in two target layers and in one substrate layer, and the deposition rate of films at fixed partial pressures of the reactive and inert gas. A simplified relation for the deposition rate of films prepared using a reactive HiPIMS is presented. We used the model to simulate controlled reactive HiPIMS depositions of stoichiometric ZrO2 films, which were recently carried out in our laboratories with two different configurations of the O2 inlets in front of the sputtered target. The repetition frequency was 500 Hz at the deposition-averaged target power densities of 5 Wcm−2 and 50 Wcm−2 with a pulse-averaged target power density up to 2 kWcm−2. The pulse durations were 50 μs and 200 μs. Our model calculations show that the to-substrate O2 inlet provides systematically lower compound fractions in the target surface layer and higher compound fractions in the substrate surface layer, compared with the to-target O2 inlet. The low compound fractions in the target surface layer (being approximately 10% at the depositionaveraged target power density of 50 Wcm−2 and the pulse duration of 200 μs) result in high deposition rates of the films produced, which are in agreement with experimental values.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000368944100016 Publication Date 2015-12-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links  
  Impact Factor 2.588 Times cited 25 Open Access  
  Notes This work was supported by the Czech Science Foundation under Project No. GA14–03875S Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ Serial 3994  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue (up) 49 Pages 195203  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, H2 + and H3 + are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a

higher fraction results in less chemical etching but more (physical) sputtering.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000375255500017 Publication Date 2016-04-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 5 Open Access  
  Notes We acknowledge the Fund for Scientific Research Flanders (FWO) for financial support of this work. This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:132890 Serial 4062  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  url
doi  openurl
  Title Role of vibrationally excited HBr in a HBr/He inductively coupled plasma used for etching of silicon Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue (up) 49 Pages 245204  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this work, the role of vibrationally excited HBr (HBr(vib)) is computationally investigated for a HBr/He inductively coupled plasma applied for Si etching. It is found that at least 50% of all dissociations of HBr occur through HBr(vib). This additional dissociation pathway through HBr(vib) makes the plasma significantly more atomic. It also results in a slightly higher electron temperature (i.e. about 0.2 eV higher compared to simulation results where HBr(vib) is not included), as well as a higher gas temperature (i.e. about 50 K higher than without including HBr(vib)), due to the enhanced Franck–Condon heating through HBr(vib) dissociation,

at the conditions investigated. Most importantly, the calculated etch rate with HBr(vib) included in the model is a factor 3 higher than in the case without HBr(vib), due to the higher fluxes of etching species (i.e. H and Br), while the chemical composition of the wafer surface shows no significant difference. Our calculations clearly show the importance of including HBr(vib) for accurate modeling of HBr-containing plasmas.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000377427100020 Publication Date 2016-05-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited Open Access  
  Notes The Fund for Scientific Research Flanders (FWO) is acknowledged for financial support of this work (Grant no. 0880.212.840). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Prof. Mark Kushner is also gratefully acknowledged for the useful discussions and for providing the HPEM code. Approved Most recent IF: 2.588  
  Call Number c:irua:133457 Serial 4072  
Permanent link to this record
 

 
Author Tinck, S.; Tillocher, T.; Dussart, R.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Elucidating the effects of gas flow rate on an SF6inductively coupled plasma and on the silicon etch rate, by a combined experimental and theoretical investigation Type A1 Journal article
  Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue (up) 49 Pages 385201  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Experiments show that the etch rate of Si with SF6 inductively coupled plasma (ICP) is significantly influenced by the absolute gas flow rate in the range of 50–600 sccm, with a maximum at around 200 sccm. Therefore, we numerically investigate the effects of the gas flow rate on the bulk plasma properties and on the etch rate, to obtain more insight in the underlying reasons of this effect. A hybrid Monte Carlo—fluid model is applied to simulate an SF6 ICP. It is found that the etch rate is influenced by two simultaneous effects: (i) the residence time of the gas and (ii) the temperature profile of the plasma in the ICP volume, resulting indeed in a maximum etch rate at 200 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000384095900011 Publication Date 2016-08-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 1 Open Access  
  Notes We are very grateful to Mark Kushner for providing the computational model. The Fund for Scientific Research Flanders (FWO; grant no. 0880.212.840) is acknowledged for financial support of this work. The work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588  
  Call Number c:irua:134867 Serial 4108  
Permanent link to this record
 

 
Author Vanderveken, F.; Ahmad, H.; Heyns, M.; Sorée, B.; Adelmann, C.; Ciubotaru, F. pdf  url
doi  openurl
  Title Excitation and propagation of spin waves in non-uniformly magnetized waveguides Type A1 Journal article
  Year 2020 Publication Journal Of Physics D-Applied Physics Abbreviated Journal J Phys D Appl Phys  
  Volume 53 Issue (up) 49 Pages 495006  
  Keywords A1 Journal article; Condensed Matter Theory (CMT)  
  Abstract The characteristics of spin waves in ferromagnetic waveguides with non-uniform magnetization have been investigated for situations where the shape anisotropy field of the waveguide is comparable to the external bias field. Spin-wave generation was realized by the magnetoelastic effect by applying normal and shear strain components, as well as by the Oersted field emitted by an inductive antenna. The magnetoelastic excitation field has a non-uniform profile over the width of the waveguide because of the non-uniform magnetization orientation, whereas the Oersted field remains uniform. Using micromagnetic simulations, we indicate that both types of excitation fields generate quantised width modes with both odd and even mode numbers as well as tilted phase fronts. We demonstrate that these effects originate from the average magnetization orientation with respect to the main axes of the magnetic waveguide. Furthermore, it is indicated that the excitation efficiency of the second-order mode generally surpasses that of the first-order mode due to their symmetry. The relative intensity of the excited modes can be controlled by the strain state as well as by tuning the dimensions of the excitation area. Finally, we demonstrate that the nonreciprocity of spin-wave radiation due to the chirality of an Oersted field generated by an inductive antenna is absent for magnetoelastic spin-wave excitation.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000575331600001 Publication Date 2020-08-26  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.4 Times cited 1 Open Access  
  Notes ; This work has been supported by imec's industrial affiliate program on beyond-CMOS logic. It has also received funding from the European Union's Horizon 2020 research and innovation program within the FET-OPEN project CHIRON under grant agreement No. 801055. F V acknowledges financial support from the Research Foundation -Flanders (FWO) through grant No. 1S05719N. ; Approved Most recent IF: 3.4; 2020 IF: 2.588  
  Call Number UA @ admin @ c:irua:172641 Serial 6515  
Permanent link to this record
 

 
Author Bogaerts, A.; Khosravian, N.; Van der Paal, J.; Verlackt, C.C.W.; Yusupov, M.; Kamaraj, B.; Neyts, E.C. pdf  url
openurl 
  Title Multi-level molecular modelling for plasma medicine Type A1 Journal article
  Year 2016 Publication Journal Of Physics D-Applied Physics Abbreviated Journal J Phys D Appl Phys  
  Volume 49 Issue (up) 5 Pages 054002-54019  
  Keywords A1 Journal article; Plasma, laser ablation and surface modeling – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record  
  Impact Factor 2.588 Times cited Open Access  
  Notes Approved Most recent IF: 2.588  
  Call Number UA @ lucian @ c:irua:129798 Serial 4467  
Permanent link to this record
 

 
Author Ozkan, A.; Bogaerts, A.; Reniers, F. pdf  url
doi  openurl
  Title Routes to increase the conversion and the energy efficiency in the splitting of CO2by a dielectric barrier discharge Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 50 Issue (up) 50 Pages 084004  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Here, we present routes to increase CO2 conversion into CO using an atmospheric pressure dielectric-barrier discharge. The change in conversion as a function of simple plasma parameters, such as power, flow rate, but also frequency, on-and-off power pulse, thickness and the chemical nature of the dielectric, wall and gas temperature, are described. By means of an in-depth electrical characterization of the discharge (effective plasma voltage, dielectric voltage, plasma current, number and lifetime of the microdischarges), combined with infrared analysis of the walls of the reactor, optical emission spectroscopy for the gas temperature, and mass spectrometry for the CO2 conversion, we propose a global interpretation of the effect of all the experimental parameters on the conversion and efficiency of the reaction.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000395400700001 Publication Date 2017-01-30  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 28 Open Access OpenAccess  
  Notes The authors acknowledge financial support from the IAPVII/ 12, P7/34 (Interuniversity Attraction Pole) program PSIPhysical Chemistry of Plasma–Surface Interaction financially supported by the Belgian Federal Office for Science Policy (BELSPO). A Ozkan would like to thank the financial support given by the Fonds David et Alice Van Buuren. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:140093 Serial 4415  
Permanent link to this record
 

 
Author Verlackt, C.C.W.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Atomic scale behavior of oxygen-based radicals in water Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 50 Issue (up) 50 Pages 11LT01  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cold atmospheric pressure plasmas in and in contact with liquids represent a growing field of research for various applications. Understanding the interactions between the plasma generated species and the liquid is crucial. In this work we perform molecular dynamics (MD) simulations based on a quantum mechanical method, i.e. density-functional based tight-binding (DFTB), to examine the interactions of OH radicals and O atoms in bulk water. Our calculations reveal that the transport of OH radicals through water is not only governed by diffusion, but also by an equilibrium reaction of H-abstraction with water molecules. Furthermore, when two OH radicals encounter each other, they either form a stable cluster, or react, resulting in the formation of a new water molecule and an O atom. In addition,

the O atoms form either oxywater (when in singlet configuration) or they remain stable in solution (when in triplet configuration), stressing the important role that O atoms can play in aqueous solution, and in contact with biomolecules. Our observations are in line with both experimental and ab initio results from the literature.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000415252400001 Publication Date 2017-02-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 11 Open Access OpenAccess  
  Notes The authors thank Peter Bruggeman (University of Minnesota, USA) and Jan Benedikt (Ruhr-Universität Bochum, Germany) for the interesting discussions regarding the existence of O in aqueous solutions. Furthermore, they acknowledge financial support from the Fund for Scientific Research (FWO) Flanders (project number G012413N). The calculations were performed using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:140845 Serial 4420  
Permanent link to this record
 

 
Author Khalilov, U.; Bogaerts, A.; Hussain, S.; Kovacevic, E.; Brault, P.; Boulmer-Leborgne, C.; Neyts, E.C. pdf  url
doi  openurl
  Title Nanoscale mechanisms of CNT growth and etching in plasma environment Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 50 Issue (up) 50 Pages 184001  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Plasma-enhanced chemical deposition (PECVD) of carbon nanotubes has already been shown to allow chirality control to some extent. In PECVD, however, etching may occur simultaneously with the growth, and the occurrence of intermediate processes further significantly complicates the growth process.

We here employ a computational approach with experimental support to study the plasma-based formation of Ni nanoclusters, Ni-catalyzed CNT growth and subsequent etching processes, in order to understand the underpinning nanoscale mechanisms. We find that hydrogen is the dominant factor in both the re-structuring of a Ni film and the subsequent appearance of Ni nanoclusters, as well as in the CNT nucleation and etching processes. The obtained results are compared with available theoretical and experimental studies and provide a deeper understanding of the occurring nanoscale mechanisms in plasma-assisted CNT nucleation and growth.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000398300900001 Publication Date 2017-04-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 6 Open Access OpenAccess  
  Notes UK gratefully acknowledges financial support from the Research Foundation – Flanders (FWO), Belgium (Grant No. 12M1315N). The work was carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Centre VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. The authors also thank Prof A C T van Duin for sharing the ReaxFF code. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:141918 Serial 4533  
Permanent link to this record
 

 
Author Adamovich, I.; Baalrud, S.D.; Bogaerts, A.; Bruggeman, P.J.; Cappelli, M.; Colombo, V.; Czarnetzki, U.; Ebert, U.; Eden, J.G.; Favia, P.; Graves, D.B.; Hamaguchi, S.; Hieftje, G.; Hori, M.; Kaganovich, I.D.; Kortshagen, U.; Kushner, M.J.; Mason, N.J.; Mazouffre, S.; Thagard, S.M.; Metelmann, H.-R.; Mizuno, A.; Moreau, E.; Murphy, A.B.; Niemira, B.A.; Oehrlein, G.S.; Petrovic, Z.L.; Pitchford, L.C.; Pu, Y.-K.; Rauf, S.; Sakai, O.; Samukawa, S.; Starikovskaia, S.; Tennyson, J.; Terashima, K.; Turner, M.M.; van de Sanden, M.C.M.; Vardelle, A. url  doi
openurl 
  Title The 2017 Plasma Roadmap: Low temperature plasma science and technology Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 50 Issue (up) 50 Pages 323001  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Journal of Physics D: Applied Physics published the first Plasma Roadmap in 2012

consisting of the individual perspectives of 16 leading experts in the various sub-fields of low temperature plasma science and technology. The 2017 Plasma Roadmap is the first update of a planned series of periodic updates of the Plasma Roadmap. The continuously growing interdisciplinary nature of the low temperature plasma field and its equally broad range of applications are making it increasingly difficult to identify major challenges that encompass all of the many sub-fields and applications. This intellectual diversity is ultimately a strength of the field. The current state of the art for the 19 sub-fields addressed in this roadmap demonstrates the enviable track record of the low temperature plasma field in the development of plasmas as an enabling technology for a vast range of technologies that underpin our modern society. At the same time, the many important scientific and technological challenges shared in this roadmap show that the path forward is not only scientifically rich but has the potential to make wide and far reaching contributions to many societal challenges.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000405553800001 Publication Date 2017-07-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 246 Open Access OpenAccess  
  Notes Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @ c:irua:144626 Serial 4629  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
  Year 2008 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 41 Issue (up) 6 Pages 065207,1-14  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000254153900022 Publication Date 2008-02-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 31 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:67019 Serial 3010  
Permanent link to this record
 

 
Author Georgieva, V.; Saraiva, M.; Jehanathan, N.; Lebelev, O.I.; Depla, D.; Bogaerts, A. pdf  doi
openurl 
  Title Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments Type A1 Journal article
  Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 42 Issue (up) 6 Pages 065107,1-065107,8  
  Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Using a molecular dynamics model the crystallinity of MgxAlyOz thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al2O3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the MgAlO film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline MgAlO films have a MgO structure with Al atoms in between.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000263824200024 Publication Date 2009-03-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 37 Open Access  
  Notes Iwt Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:73246 Serial 3110  
Permanent link to this record
 

 
Author Gröger, S.; Ramakers, M.; Hamme, M.; Medrano, J.A.; Bibinov, N.; Gallucci, F.; Bogaerts, A.; Awakowicz, P. pdf  url
doi  openurl
  Title Characterization of a nitrogen gliding arc plasmatron using optical emission spectroscopy and high-speed camera Type A1 Journal article
  Year 2019 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 52 Issue (up) 6 Pages 065201  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A gliding arc plasmatron (GAP), which is very promising for purification and gas conversion,

is characterized in nitrogen using optical emission spectroscopy and high-speed photography,

because the cross sections of electron impact excitation of N 2 are well known. The gas

temperature (of about 5500 K), the electron density (up to 1.5 × 10 15 cm −3 ) and the reduced

electric field (of about 37 Td) are determined using an absolutely calibrated intensified charge-

coupled device (ICCD) camera, equipped with an in-house made optical arrangement for

simultaneous two-wavelength diagnostics, adapted to the transient behavior of a GA channel

in turbulent gas flow. The intensities of nitrogen molecular emission bands, N 2 (C–B,0–0) as

well as N +

2 (B–X,0–0), are measured simultaneously. The electron density and the reduced

electric field are determined at a spatial resolution of 30 µm, using numerical simulation and

measured emission intensities, applying the Abel inversion of the ICCD images. The temporal

behavior of the GA plasma channel and the formation of plasma plumes are studied using a

high-speed camera. Based on the determined plasma parameters, we suggest that the plasma

plume formation is due to the magnetization of electrons in the plasma channel of the GAP by

an axial magnetic field in the plasma vortex.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000451745900001 Publication Date 2018-11-30  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 7 Open Access Not_Open_Access: Available from 30.11.2019  
  Notes The authors are very grateful to Professor Kurt Behringer for the development of the program code for simulation of emis- sion spectra of nitrogen. Approved Most recent IF: 2.588  
  Call Number PLASMANT @ plasmant @UA @ admin @ c:irua:155974 Serial 5141  
Permanent link to this record
 

 
Author Wang, W.; Kong, L.; Geng, J.; Wei, F.; Xia, G. url  doi
openurl 
  Title Wall ablation of heated compound-materials into non-equilibrium discharge plasmas Type A1 Journal article
  Year 2017 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 50 Issue (up) 7 Pages 074005  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The discharge properties of the plasma bulk flow near the surface of heated compound-materials strongly affects the kinetic layer parameters modeled and manifested in the Knudsen layer. This paper extends the widely used two-layer kinetic ablation model to the ablation controlled non-equilibrium discharge due to the fact that the local thermodynamic equilibrium (LTE) approximation is often violated as a result of the interaction between the plasma and solid walls. Modifications to the governing set of equations, to account for this effect, are derived and presented by assuming that the temperature of the electrons deviates from that of the heavy particles. The ablation characteristics of one typical material, polytetrafluoroethylene (PTFE) are calculated with this improved model. The internal degrees of freedom as well as the average particle mass and specific heat ratio of the polyatomic vapor, which strongly depends on the temperature, pressure and plasma non-equilibrium degree and plays a crucial role in the accurate determination of the ablation behavior by this model, are also taken into account. Our assessment showed the significance of including such modifications related to the non-equilibrium effect in the study of vaporization of heated compound materials in ablation controlled arcs. Additionally, a two-temperature magneto-hydrodynamic (MHD) model accounting for the thermal non-equilibrium occurring near the wall surface is developed and applied into an ablation-dominated discharge for an electro-thermal chemical launch device. Special attention is paid to the interaction between the non-equilibrium plasma and the solid propellant surface. Both the mass exchange process caused by the wall ablation and plasma species deposition as well as the associated momentum and energy exchange processes are taken into account. A detailed comparison of the results of the non-equilibrium model with those of an equilibrium model is presented. The non-equilibrium results show a non-equilibrium region near the plasma-wall interaction region and this indicates the need for the consideration of the influence of the possible departure from LTE in the plasma bulk on the determination of ablation rate.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000394097200001 Publication Date 2017-01-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 19 Open Access OpenAccess  
  Notes Approved Most recent IF: 2.588  
  Call Number UA @ lucian @ c:irua:141965 Serial 4702  
Permanent link to this record
 

 
Author Neyts, E.; Bogaerts, A.; van de Sanden, M.C.M. doi  openurl
  Title Densification of thin a-C: H films grown from low-kinetic energy hydrocarbon radicals under the influence of H and C particle fluxes: a molecular dynamics study Type A1 Journal article
  Year 2006 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 39 Issue (up) 9 Pages 1948-1953  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000238233900035 Publication Date 2006-04-21  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 3 Open Access  
  Notes Approved Most recent IF: 2.588; 2006 IF: 2.077  
  Call Number UA @ lucian @ c:irua:57254 Serial 634  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: