|   | 
Details
   web
Records
Author (down) Trenchev, G.; Bogaerts, A.
Title Dual-vortex plasmatron: A novel plasma source for CO2 conversion Type A1 Journal article
Year 2020 Publication Journal Of Co2 Utilization Abbreviated Journal J Co2 Util
Volume 39 Issue Pages 101152
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Atmospheric pressure gliding arc (GA) discharges are gaining increasing interest for CO2 conversion and other gas conversion applications, due to their simplicity and high energy efficiency. However, they are characterized by some drawbacks, such as non-uniform gas treatment, limiting the conversion, as well as the development of a hot cathode spot, resulting in severe electrode degradation. In this work, we built a dual-vortex plasmatron, which is a GA plasma reactor with innovative electrode configuration, to solve the above problems. The design aims to improve the CO2 conversion capability of the GA reactor by elongating the arc in two directions, to increase the residence time of the gas inside the arc, and to actively cool the cathode spot by rotation of the arc and gas convection. The measured CO2 conversion and corresponding energy efficiency indeed look very promising. In addition, we developed a fluid dynamics non-thermal plasma model with argon chemistry, to study the arc behavior in the reactor and to explain the experimental results.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000546648400008 Publication Date 2020-03-20
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 2212-9820 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 7.7 Times cited Open Access
Notes Fund for Scientific Research – Flanders, G.0383.16N 11U53.16N ; Hercules Foundation, the Flemish Government; UAntwerpen; We acknowledge financial support from the Fund for Scientific Research – Flanders (FWO); grant numbers G.0383.16N and 11U53.16N. The calculations were performed using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI), and the UAntwerpen. We would also like to thank G. Van Loon from the University of Antwerp for building the DVP reactor. Approved Most recent IF: 7.7; 2020 IF: 4.292
Call Number PLASMANT @ plasmant @c:irua:167593 Serial 6356
Permanent link to this record
 

 
Author (down) Torfs, E.; Vajs, J.; Bidart de Macedo, M.; Cools, F.; Vanhoutte, B.; Gorbanev, Y.; Bogaerts, A.; Verschaeve, L.; Caljon, G.; Maes, L.; Delputte, P.; Cos, P.; Komrlj, J.; Cappoen, D.
Title Synthesis and in vitro investigation of halogenated 1,3-bis(4-nitrophenyl)triazenide salts as antitubercular compounds Type A1 Journal article
Year 2017 Publication Chemical biology and drug design Abbreviated Journal Chem Biol Drug Des
Volume Issue Pages 1-10
Keywords A1 Journal article; Pharmacology. Therapy; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The diverse pharmacological properties of the diaryltriazenes have sparked the interest to investigate their potential to be repurposed as antitubercular drug candidates. In an attempt to improve the antitubercular activity of a previously constructed diaryltriazene library, eight new halogenated nitroaromatic triazenides were synthesized and underwent biological evaluation. The potency of the series was confirmed against the Mycobacterium tuberculosis lab strain H37Ra, and for the most potent derivative, we observed a minimal inhibitory concentration of 0.85 μm. The potency of the triazenide derivatives against M. tuberculosis H37Ra was found to be highly dependent on the nature of the halogenated phenyl substituent and less dependent on cationic species used for the preparation of the salts. Although the inhibitory concentration against J774A.1 macrophages was observed at 3.08 μm, the cellular toxicity was not mediated by the generation of nitroxide intermediate as confirmed by electron paramagnetic resonance spectroscopy, whereas no in vitro mutagenicity could be observed for the new halogenated nitroaromatic triazenides when a trifluoromethyl substituent was present on both the aryl moieties.
Address
Corporate Author Thesis
Publisher Place of Publication Copenhagen Editor
Language Wos 000422952300027 Publication Date 2017-08-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1747-0277; 1747-0285; 1397-002x ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.396 Times cited 5 Open Access OpenAccess
Notes Approved Most recent IF: 2.396
Call Number UA @ lucian @ c:irua:147182 Serial 4794
Permanent link to this record
 

 
Author (down) Titantah, J.T.; Lamoen, D.; Neyts, E.; Bogaerts, A.
Title The effect of hydrogen on the electronic and bonding properties of amorphous carbon Type A1 Journal article
Year 2006 Publication Journal of physics : condensed matter Abbreviated Journal J Phys-Condens Mat
Volume 18 Issue 48 Pages 10803-10815
Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication London Editor
Language Wos 000242650600008 Publication Date 2006-11-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0953-8984;1361-648X; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.649 Times cited 13 Open Access
Notes Approved Most recent IF: 2.649; 2006 IF: 2.038
Call Number UA @ lucian @ c:irua:60468 Serial 816
Permanent link to this record
 

 
Author (down) Tinck, S.; Tillocher, T.; Georgieva, V.; Dussart, R.; Neyts, E.; Bogaerts, A.
Title Concurrent effects of wafer temperature and oxygen fraction on cryogenic silicon etching with SF6/O2plasmas Type A1 Journal article
Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 14 Issue 9 Pages 1700018
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Cryogenic plasma etching is a promising technique for high-control wafer development with limited plasma induced damage. Cryogenic wafer temperatures effectively reduce surface damage during etching, but the fundamental mechanism is not well understood. In this study, the influences of wafer temperature, gas mixture and substrate bias on the (cryogenic) etch rates of Si with SF6/O2 inductively coupled plasmas are experimentally and computationally investigated. The etch rates are measured in situ with double-point reflectometry and a hybrid computational Monte Carlo – fluid model is applied to calculate plasma properties. This work allows the reader to obtain a better insight in the effects of wafer temperature on the etch rate and to find operating conditions for successful anisotropic (cryo)etching.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000410773200012 Publication Date 2017-04-03
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited Open Access Not_Open_Access
Notes Fonds Wetenschappelijk Onderzoek, 0880.212.840 ; Hercules Foundation; Flemish Government (Department EWI); Universiteit Antwerpen; Approved Most recent IF: 2.846
Call Number PLASMANT @ plasmant @c:irua:145637 Serial 4708
Permanent link to this record
 

 
Author (down) Tinck, S.; Tillocher, T.; Dussart, R.; Neyts, E.C.; Bogaerts, A.
Title Elucidating the effects of gas flow rate on an SF6inductively coupled plasma and on the silicon etch rate, by a combined experimental and theoretical investigation Type A1 Journal article
Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys
Volume 49 Issue 49 Pages 385201
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Experiments show that the etch rate of Si with SF6 inductively coupled plasma (ICP) is significantly influenced by the absolute gas flow rate in the range of 50–600 sccm, with a maximum at around 200 sccm. Therefore, we numerically investigate the effects of the gas flow rate on the bulk plasma properties and on the etch rate, to obtain more insight in the underlying reasons of this effect. A hybrid Monte Carlo—fluid model is applied to simulate an SF6 ICP. It is found that the etch rate is influenced by two simultaneous effects: (i) the residence time of the gas and (ii) the temperature profile of the plasma in the ICP volume, resulting indeed in a maximum etch rate at 200 sccm.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000384095900011 Publication Date 2016-08-24
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited 1 Open Access
Notes We are very grateful to Mark Kushner for providing the computational model. The Fund for Scientific Research Flanders (FWO; grant no. 0880.212.840) is acknowledged for financial support of this work. The work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588
Call Number c:irua:134867 Serial 4108
Permanent link to this record
 

 
Author (down) Tinck, S.; Tillocher, T.; Dussart, R.; Bogaerts, A.
Title Cryogenic etching of silicon with SF6 inductively coupled plasmas: a combined modelling and experimental study Type A1 Journal article
Year 2015 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys
Volume 48 Issue 48 Pages 155204
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A hybrid Monte Carlofluid model is applied to simulate the wafer-temperature-dependent etching of silicon with SF6 inductively coupled plasmas (ICP). The bulk plasma within the ICP reactor volume as well as the surface reactions occurring at the wafer are self-consistently described. The calculated etch rates are validated by experiments. The calculations and experiments are performed at two different wafer temperatures, i.e. 300 and 173 K, resembling conventional etching and cryoetching, respectively. In the case of cryoetching, a physisorbed SFx layer (x = 06) is formed on the wafer, which is negligible at room temperature, because of fast thermal desorption, However, even in the case of cryoetching, this layer can easily be disintegrated by low-energy ions, so it does not affect the etch rates. In the investigated pressure range of 19 Pa, the etch rate is always slightly higher at cryogenic conditions, both in the experiments and in the model, and this could be explained in the model due to a local cooling of the gas above the wafer, making the gas denser and increasing the flux of reactive neutrals, like F and F2, towards the wafer.
Address
Corporate Author Thesis
Publisher Place of Publication London Editor
Language Wos 000351856600009 Publication Date 2015-03-25
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited 9 Open Access
Notes Approved Most recent IF: 2.588; 2015 IF: 2.721
Call Number c:irua:124209 Serial 551
Permanent link to this record
 

 
Author (down) Tinck, S.; Neyts, E.C.; Bogaerts, A.
Title Fluorinesilicon surface reactions during cryogenic and near room temperature etching Type A1 Journal article
Year 2014 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal J Phys Chem C
Volume 118 Issue 51 Pages 30315-30324
Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Cyrogenic etching of silicon is envisaged to enable better control over plasma processing in the microelectronics industry, albeit little is known about the fundamental differences compared to the room temperature process. We here present molecular dynamics simulations carried out to obtain sticking probabilities, thermal desorption rates, surface diffusion speeds, and sputter yields of F, F2, Si, SiF, SiF2, SiF3, SiF4, and the corresponding ions on Si(100) and on SiF13 surfaces, both at cryogenic and near room temperature. The different surface behavior during conventional etching and cryoetching is discussed. F2 is found to be relatively reactive compared to other species like SiF03. Thermal desorption occurs at a significantly lower rate under cryogenic conditions, which results in an accumulation of physisorbed species. Moreover, ion incorporation is often observed for ions with energies of 30400 eV, which results in a relatively low net sputter yield. The obtained results suggest that the actual etching of Si, under both cryogenic and near room temperature conditions, is based on the complete conversion of the Si surface to physisorbed SiF4, followed by subsequent sputtering of these molecules, instead of direct sputtering of the SiF03 surface.
Address
Corporate Author Thesis
Publisher Place of Publication Washington, D.C. Editor
Language Wos 000347360200101 Publication Date 2014-11-25
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1932-7447;1932-7455; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 4.536 Times cited 11 Open Access
Notes Approved Most recent IF: 4.536; 2014 IF: 4.772
Call Number UA @ lucian @ c:irua:122957 Serial 1239
Permanent link to this record
 

 
Author (down) Tinck, S.; De Schepper, P.; Bogaerts, A.
Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
Year 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 10 Issue 8 Pages 714-730
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000327790000006 Publication Date 2013-05-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 3 Open Access
Notes Approved Most recent IF: 2.846; 2013 IF: 2.964
Call Number UA @ lucian @ c:irua:109900 Serial 2397
Permanent link to this record
 

 
Author (down) Tinck, S.; Boullart, W.; Bogaerts, A.
Title Investigation of etching and deposition processes of Cl2/O2/Ar inductively coupled plasmas on silicon by means of plasmasurface simulations and experiments Type A1 Journal article
Year 2009 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys
Volume 42 Issue Pages 095204,1-095204,13
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, a simulation method is described to predict the etching behaviour of Cl2/O2/Ar inductively coupled plasmas on a Si substrate, as used in shallow trench isolation for the production of electronic devices. The hybrid plasma equipment model (HPEM) developed by Kushner et al is applied to calculate the plasma characteristics in the reactor chamber and two additional Monte Carlo simulations are performed to predict the fluxes, angles and energy of the plasma species bombarding the Si substrate, as well as the resulting surface processes such as etching and deposition. The simulations are performed for a wide variety of operating conditions such as gas composition, chamber pressure, power deposition and substrate bias. It is predicted by the simulations that when the fraction of oxygen in the gas mixture is too high, the oxidation of the Si substrate is superior to the etching of Si by chlorine species, resulting in an etch rate close to zero as is also observed in the experiments.
Address
Corporate Author Thesis
Publisher Place of Publication London Editor
Language Wos 000265531000030 Publication Date 2009-04-16
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited 23 Open Access
Notes Approved Most recent IF: 2.588; 2009 IF: 2.083
Call Number UA @ lucian @ c:irua:75601 Serial 1731
Permanent link to this record
 

 
Author (down) Tinck, S.; Boullart, W.; Bogaerts, A.
Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 4 Pages 045012-045012,19
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000295829800014 Publication Date 2011-06-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 22 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:91045 Serial 2141
Permanent link to this record
 

 
Author (down) Tinck, S.; Boullart, W.; Bogaerts, A.
Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
Year 2008 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys
Volume 41 Issue 6 Pages 065207,1-14
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.
Address
Corporate Author Thesis
Publisher Place of Publication London Editor
Language Wos 000254153900022 Publication Date 2008-02-27
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited 31 Open Access
Notes Approved Most recent IF: 2.588; 2008 IF: 2.104
Call Number UA @ lucian @ c:irua:67019 Serial 3010
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A.; Shamiryan, D.
Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 8 Issue 6 Pages 490-499
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000292116800003 Publication Date 2011-03-29
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 5 Open Access
Notes Approved Most recent IF: 2.846; 2011 IF: 2.468
Call Number UA @ lucian @ c:irua:90926 Serial 3014
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A.
Title Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 1 Pages 015008-015008,10
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, an O2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al2O3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O2, while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000286592200009 Publication Date 2011-01-08
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 11 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:85285 Serial 467
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A.
Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 5 Pages 522-539
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000303858100010 Publication Date 2012-03-06
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 5 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:99127 Serial 2142
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A.
Title Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry Type A1 Journal article
Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys
Volume 49 Issue 49 Pages 195203
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, H2 + and H3 + are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a

higher fraction results in less chemical etching but more (physical) sputtering.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000375255500017 Publication Date 2016-04-13
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited 5 Open Access
Notes We acknowledge the Fund for Scientific Research Flanders (FWO) for financial support of this work. This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Approved Most recent IF: 2.588
Call Number c:irua:132890 Serial 4062
Permanent link to this record
 

 
Author (down) Tinck, S.; Bogaerts, A.
Title Role of vibrationally excited HBr in a HBr/He inductively coupled plasma used for etching of silicon Type A1 Journal article
Year 2016 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys
Volume 49 Issue 49 Pages 245204
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this work, the role of vibrationally excited HBr (HBr(vib)) is computationally investigated for a HBr/He inductively coupled plasma applied for Si etching. It is found that at least 50% of all dissociations of HBr occur through HBr(vib). This additional dissociation pathway through HBr(vib) makes the plasma significantly more atomic. It also results in a slightly higher electron temperature (i.e. about 0.2 eV higher compared to simulation results where HBr(vib) is not included), as well as a higher gas temperature (i.e. about 50 K higher than without including HBr(vib)), due to the enhanced Franck–Condon heating through HBr(vib) dissociation,

at the conditions investigated. Most importantly, the calculated etch rate with HBr(vib) included in the model is a factor 3 higher than in the case without HBr(vib), due to the higher fluxes of etching species (i.e. H and Br), while the chemical composition of the wafer surface shows no significant difference. Our calculations clearly show the importance of including HBr(vib) for accurate modeling of HBr-containing plasmas.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000377427100020 Publication Date 2016-05-17
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited Open Access
Notes The Fund for Scientific Research Flanders (FWO) is acknowledged for financial support of this work (Grant no. 0880.212.840). This work was carried out in part using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the University of Antwerp. Prof. Mark Kushner is also gratefully acknowledged for the useful discussions and for providing the HPEM code. Approved Most recent IF: 2.588
Call Number c:irua:133457 Serial 4072
Permanent link to this record
 

 
Author (down) Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A.
Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 11 Issue 1 Pages 52-62
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000330588800006 Publication Date 2013-11-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 1 Open Access
Notes Approved Most recent IF: 2.846; 2014 IF: 2.453
Call Number UA @ lucian @ c:irua:115735 Serial 1256
Permanent link to this record
 

 
Author (down) Teodoru, S.; Kusano, Y.; Bogaerts, A.
Title The effect of O2 in a humid O2/N2/NOx gas mixture on NOx and N2O remediation by an atmospheric pressure dielectric barrier discharge Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 7 Pages 652-689
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A numerical model for NxOy remediation in humid air plasma produced with a dielectric barrier discharge at atmospheric pressure is presented. Special emphasis is given to NO2 and N2O reduction with the decrease of O2 content in the feedstock gas. A detailed reaction mechanism including electronic and ionic processes, as well as the contribution of radicals and excited atomic/molecular species is proposed. The temporal evolution of the densities of NO, NO2 and N2O species, and some other by-products, is analyzed, and the major pathways for the NxOy remediation are discussed for one pulse. Subsequently, simulations are presented for a multi-pulses case, where three O2 contents are tested for optimization of the remediation process. It is found that when the gas mixture O2/N2/H2O/NOx has no initial O2 content, the best NOx and N2O remediation is achieved.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000306279500005 Publication Date 2012-03-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 24 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:100920 Serial 842
Permanent link to this record
 

 
Author (down) Tennyson, J.; Rahimi, S.; Hill, C.; Tse, L.; Vibhakar, A.; Akello-Egwel, D.; Brown, D.B.; Dzarasova, A.; Hamilton, J.R.; Jaksch, D.; Mohr, S.; Wren-Little, K.; Bruckmeier, J.; Agarwal, A.; Bartschat, K.; Bogaerts, A.; Booth, J.-P.; Goeckner, M.J.; Hassouni, K.; Itikawa, Y.; Braams, B.J.; Krishnakumar, E.; Laricchiuta, A.; Mason, N.J.; Pandey, S.; Petrovic, Z.L.; Pu, Y.-K.; Ranjan, A.; Rauf, S.; Schulze, J.; Turner, M.M.; Ventzek, P.; Whitehead, J.C.; Yoon, J.-S.
Title QDB: a new database of plasma chemistries and reactions Type A1 Journal article
Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 26 Issue 26 Pages 055014
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract One of the most challenging and recurring problems when modeling plasmas is the lack of data on the key atomic and molecular reactions that drive plasma processes. Even when there are data for some reactions, complete and validated datasets of chemistries are rarely available. This hinders research on plasma processes and curbs development of industrial applications. The QDB project aims to address this problem by providing a platform for provision, exchange, and validation of chemistry datasets. A new data model developed for QDB is presented. QDB collates published data on both electron scattering and heavy-particle reactions. These data are formed into reaction sets, which are then validated against experimental data where possible. This process produces both complete chemistry sets and identifies key reactions that are currently unreported in the literature. Gaps in the datasets can be filled using established theoretical methods. Initial validated chemistry sets for SF6/CF4/O2 and SF6/CF4/N2/H2 are presented as examples.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000398394500001 Publication Date 2017-04-04
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 18 Open Access OpenAccess
Notes Approved Most recent IF: 3.302
Call Number PLASMANT @ plasmant @ c:irua:142206 Serial 4549
Permanent link to this record
 

 
Author (down) Tennyson, J.; Mohr, S.; Hanicinec, M.; Dzarasova, A.; Smith, C.; Waddington, S.; Liu, B.; Alves, L.L.; Bartschat, K.; Bogaerts, A.; Engelmann, S.U.; Gans, T.; Gibson, A.R.; Hamaguchi, S.; Hamilton, K.R.; Hill, C.; O’Connell, D.; Rauf, S.; van ’t Veer, K.; Zatsarinny, O.
Title The 2021 release of the Quantemol database (QDB) of plasma chemistries and reactions Type A1 Journal article
Year 2022 Publication Plasma Sources Science & Technology Abbreviated Journal Plasma Sources Sci T
Volume 31 Issue 9 Pages 095020
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The Quantemol database (QDB) provides cross sections and rates of processes important for plasma models; heavy particle collisions (chemical reactions) and electron collision processes are considered. The current version of QDB has data on 28 917 processes between 2485 distinct species plus data for surface processes. These data are available via a web interface or can be delivered directly to plasma models using an application program interface; data are available in formats suitable for direct input into a variety of popular plasma modeling codes including HPEM, COMSOL, ChemKIN, CFD-ACE+, and VisGlow. QDB provides ready assembled plasma chemistries plus the ability to build bespoke chemistries. The database also provides a Boltzmann solver for electron dynamics and a zero-dimensional model. Thesedevelopments, use cases involving O<sub>2</sub>, Ar/NF<sub>3</sub>, Ar/NF<sub>3</sub>/O<sub>2</sub>, and He/H<sub>2</sub>O/O<sub>2</sub>chemistries, and plans for the future are presented.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000895762200001 Publication Date 2022-09-01
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252 ISBN Additional Links UA library record; WoS full record
Impact Factor 3.8 Times cited Open Access OpenAccess
Notes Engineering and Physical Sciences Research Council, EP/N509577/1 ; Fundação para a Ciência e a Tecnologia, UIDB/50010/2020 ; Science and Technology Facilities Council, ST/K004069/1 ; National Science Foundation, OAC-1834740 ; Approved Most recent IF: 3.8
Call Number PLASMANT @ plasmant @c:irua:192845 Serial 7245
Permanent link to this record
 

 
Author (down) Tampieri, F.; Espona-Noguera, A.; Labay, C.; Ginebra, M.-P.; Yusupov, M.; Bogaerts, A.; Canal, C.
Title Does non-thermal plasma modify biopolymers in solution? A chemical and mechanistic study for alginate Type A1 Journal Article
Year 2023 Publication Biomaterials Science Abbreviated Journal
Volume Issue Pages
Keywords A1 Journal Article; Plasma, laser ablation and surface modeling Antwerp (PLASMANT) ;
Abstract In the last decades, non-thermal plasma has been extensively investigated as a relevant tool for various biomedical applications, ranging from tissue decontamination to regeneration and from skin treatment to tumor therapies. This high versatility is due to the different kinds and amount of reactive oxygen and nitrogen species that can be generated during a plasma treatment and put in contact with the biological target. Some recent studies report that solutions of biopolymers with the ability to generate hydrogels, when treated with plasma, can enhance the generation of reactive species and influence their stability, resulting thus in the ideal media for indirect treatments of biological targets. The direct effects of the plasma treatment on the structure of biopolymers in water solution, as well as the chemical mechanisms responsible for the enhanced generation of RONS, are not yet fully understood. In this study, we aim at filling this gap by investigating, on the one hand, the nature and extent of the modifications induced by plasma treatment in alginate solutions, and, on the other hand, at using this information to explain the mechanisms responsible for the enhanced generation of reactive species as a consequence of the treatment. The approach we use is twofold: (i) investigating the effects of plasma treatment on alginate solutions, by size exclusion chromatography, rheology and scanning electron microscopy and (ii) study of a molecular model (glucuronate) sharing its chemical structure, by chromatography coupled with mass spectrometry and by molecular dynamics simulations. Our results point out the active role of the biopolymer chemistry during direct plasma treatment. Short-lived reactive species, such as OH radicals and O atoms, can modify the polymer structure, affecting its functional groups and causing partial fragmentation. Some of these chemical modifications, like the generation of organic peroxide, are likely responsible for the secondary generation of long-lived reactive species such as hydrogen peroxide and nitrite ions. This is relevant in view of using biocompatible hydrogels as vehicles for storage and delivery reactive species for targeted therapies.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000973699000001 Publication Date 2023-04-11
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 2047-4830 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 6.6 Times cited Open Access Not_Open_Access
Notes Agència de Gestió d’Ajuts Universitaris i de Recerca, SGR2022-1368 ; H2020 European Research Council, 714793 ; European Cooperation in Science and Technology, CA19110 CA20114 ; Secretaría de Estado de Investigación, Desarrollo e Innovación, PID2019-103892RB-I00/AEI/10.13039/501100011033 ; We thank Gonzalo Rodríguez Cañada and Xavier Solé-Martí (Universitat Politècnica de Catalunya) for help in collecting some of the experimental data and for the useful discussions. This work has been primarily funded by the European Research Council (ERC) under the European Union’s Horizon 2020 research and innovation program (grant agreement no. 714793). The authors acknowledge MINECO for PID2019103892RB-I00/AEI/10.13039/501100011033 project (CC). The authors belong to SGR2022-1368 (FT, AEN, CL, MPG, CC) and acknowledge Generalitat de Catalunya for the ICREA Academia Award for Excellence in Research of CC. We thank also COST Actions CA20114 (Therapeutical Applications of Cold Plasmas) and CA19110 (Plasma Applications for Smart and Sustainable Agriculture) for the stimulating environment provided. Approved Most recent IF: 6.6; 2023 IF: 4.21
Call Number PLASMANT @ plasmant @c:irua:196773 Serial 8794
Permanent link to this record
 

 
Author (down) Sun, S.R.; Wang, H.X.; Mei, D.H.; Tu, X.; Bogaerts, A.
Title CO2 conversion in a gliding arc plasma: Performance improvement based on chemical reaction modeling Type A1 Journal article
Year 2017 Publication Journal of CO2 utilization Abbreviated Journal J Co2 Util
Volume 17 Issue 17 Pages 220-234
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract CO2 conversion into value-added chemicals is gaining increasing interest in recent years, and a gliding arc plasma has great potential for this purpose, because of its high energy efficiency. In this study, a chemical reaction kinetics model is presented to study the CO2 splitting in a gliding arc discharge. The calculated

conversion and energy efficiency are in good agreement with experimental data in a range of different operating conditions. Therefore, this reaction kinetics model can be used to elucidate the dominant chemical reactions contributing to CO2 destruction and formation. Based on this reaction pathway analysis, the restricting factors for CO2 conversion are figured out, i.e., the reverse reactions and the small treated gas fraction. This allows us to propose some solutions in order to improve the CO2 conversion, such as decreasing the gas temperature, by using a high frequency discharge, or increasing the power

density, by using a micro-scale gliding arc reactor, or by removing the reverse reactions, which could be realized in practice by adding possible scavengers for O atoms, such as CH4. Finally, we compare our results with other types of plasmas in terms of conversion and energy efficiency, and the results illustrate that gliding arc discharges are indeed quite promising for CO2 conversion, certainly when keeping in mind the possible solutions for further performance improvement.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000393928500023 Publication Date 2016-12-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 2212-9820 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 4.292 Times cited 41 Open Access Not_Open_Access
Notes We acknowledge financial support from the IAP/7 (Inter- university Attraction Pole) program ‘PSI-Physical Chemistry of Plasma-Surface Interactions’ by the Belgian Federal Office for Science Policy (BELSPO) and the Fund for Scientific Research Flanders (FWO; Grant no. G.0383.16N). The calculations were carried out using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the UAntwerpen. This work is also supported by National Natural Science Foundation of China (grant nos. 11275021, 11575019). S R Sun thanks the financial support from the China Scholarship Council (CSC). Approved Most recent IF: 4.292
Call Number PLASMANT @ plasmant @ c:irua:138986 Serial 4332
Permanent link to this record
 

 
Author (down) Sun, S.R.; Wang, H.X.; Bogaerts, A.
Title Chemistry reduction of complex CO2chemical kinetics: application to a gliding arc plasma Type A1 Journal article
Year 2020 Publication Plasma Sources Science & Technology Abbreviated Journal Plasma Sources Sci T
Volume 29 Issue 2 Pages 025012
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A gliding arc (GA) plasma has great potential for CO2 conversion into value-added chemicals, because of its high energy efficiency. To improve the application, a 2D/3D fluid model is needed to investigate the CO2 conversion mechanisms in the actual discharge geometry. Therefore, the complex CO2 chemical kinetics description must be reduced due to the huge computational cost associated with 2D/3D models. This paper presents a chemistry reduction method for CO2 plasmas, based on the so-called directed relation graph method. Depending on the defined threshold values, some marginal species are identified. By means of a sensitivity analysis, we can further reduce the chemistry set by removing one by one the marginal species. Based on the socalled flux-sensitivity coupling, we obtain a reduced CO2 kinetics model, consisting of 36 or 15 species (depending on whether the 21 asymmetric mode vibrational states of CO2 are explicitly included or lumped into one group), which is applied to a GA discharge. The results are compared with those predicted with the full chemistry set, and very good agreement is reached. Moreover, the range of validity of the reduced CO2 chemistry set is checked, telling us that this reduced set is suitable for low power GA discharges. Finally, the time and spatial evolution of the CO2 plasma characteristics are presented, based on a 2D model with the reduced kinetics.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000525600600001 Publication Date 2020-02-11
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.8 Times cited Open Access
Notes We acknowledge financial support from the Fund for Scientific Research Flanders (FWO; Grant No. G.0383.16 N). The calculations were performed using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the UAntwerpen. This work was also supported by the National Natural Science Foundation of China. (Grant Nos. 11735004, 11575019). SR Sun thanks the financial support from the National Postdoctoral Program for Innovative Talents (BX20180029). Approved Most recent IF: 3.8; 2020 IF: 3.302
Call Number PLASMANT @ plasmant @c:irua:167135 Serial 6338
Permanent link to this record
 

 
Author (down) Sun, S.R.; Kolev, S.; Wang, H.X.; Bogaerts, A.
Title Coupled gas flow-plasma model for a gliding arc: investigations of the back-breakdown phenomenon and its effect on the gliding arc characteristics Type A1 Journal article
Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 26 Issue 26 Pages 015003
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract We present a 3D and 2D Cartesian quasi-neutral plasma model for a low current argon gliding arc discharge, including strong interactions between the gas flow and arc plasma column.

The 3D model is applied only for a short time of 0.2 ms due to its huge computational cost. It mainly serves to verify the reliability of the 2D model. As the results in 2D compare well with those in 3D, they can be used for a better understanding of the gliding arc basic characteristics. More specifically, we investigate the back-breakdown phenomenon induced by an artificially controlled plasma channel, and we discuss its effect on the gliding arc characteristics. The

back-breakdown phenomenon, or backward-jump motion of the arc, as observed in the experiments, results in a drop of the gas temperature, as well as in a delay of the arc velocity with respect to the gas flow velocity, allowing more gas to pass through the arc, and thus increasing the efficiency of the gliding arc for gas treatment applications.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000419253000001 Publication Date 2016-11-22
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 9 Open Access OpenAccess
Notes This work is financially supported by the Methusalem financing, by the Fund for Scientific Research Flanders (FWO) and by the IAP/7 (Inter-university Attraction Pole) program ‘Physical Chemistry of Plasma-Surface Interactions’ from the Belgian Federal Office for Science Policy (BELSPO). The work was carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. This work was also supported by the National Natural Science Foundation of China (Grant Nos. 11275021, 11575019). S R Sun thanks the financial support from the China Scholarship Council. Approved Most recent IF: 3.302
Call Number PLASMANT @ plasmant @ c:irua:138993 Serial 4337
Permanent link to this record
 

 
Author (down) Sun, S.R.; Kolev, S.; Wang, H.X.; Bogaerts, A.
Title Investigations of discharge and post-discharge in a gliding arc: a 3D computational study Type A1 Journal article
Year 2017 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 26 Issue 26 Pages 055017
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this study we quantitatively investigate for the first time the plasma characteristics of an argon gliding arc with a 3D model. The model is validated by comparison with available experimental data from literature and a reasonable agreement is obtained for the calculated gas temperature and electron density. A complete arc cycle is modeled from initial ignition to arc decay. We investigate how the plasma characteristics, i.e., the electron temperature, gas temperature,

reduced electric field, and the densities of electrons, Ar+ and Ar2+ ions and Ar(4s) excited states, vary over one complete arc cycle, including their behavior in the discharge and post-discharge. These plasma characteristics exhibit a different evolution over one arc cycle, indicating that either the active discharge stage or the post-discharge stage can be beneficial for certain applications.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000399278100002 Publication Date 2017-04-05
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1361-6595 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 11 Open Access OpenAccess
Notes This work is financially supported by the Methusalem financing, by the Fund for Scientific Research Flanders (FWO) and by the IAP/7 (Inter-university Attraction Pole) program ‘Physical Chemistry of Plasma-Surface Interactions’ from the Belgian Federal Office for Science Policy (BELSPO). The work was carried out in part using the Turing HPC infrastructure of the CalcUA core facility of the Universiteit Antwerpen, a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the Universiteit Antwerpen. This work was also supported by the National Natural Science Foundation of China (Grant Nos. 11275021, 11575019). SR Sun thanks the financial support from the China Scholarship Council (CSC). Approved Most recent IF: 3.302
Call Number PLASMANT @ plasmant @ c:irua:142204 Serial 4550
Permanent link to this record
 

 
Author (down) Steiner, R.E.; Barshick, C.M.; Bogaerts, A.
Title Glow discharge optical spectroscopy and mass spectrometry Type H1 Book chapter
Year 2009 Publication Abbreviated Journal
Volume Issue Pages 1-28
Keywords H1 Book chapter; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Optical (atomic absorption spectroscopy, AAS; atomic emission spectroscopy, AES; atomic fluorescence spectroscopy, AFS; and optogalvanic spectroscopy) and mass spectrometric (magnetic sector, quadrupolemass analyzer, QMA; quadrupole ion trap, QIT; Fourier transform ion cyclotron resonance, FTICR; and time-of-flight, TOF) instrumentation are well suited for coupling to the glow discharge (GD). The GD is a relatively simple device. A potential gradient (5001500 V) is applied between an anode and a cathode. In most cases, the sample is also the cathode. A noble gas (e.g. Ar, Ne, and Xe) is introduced into the discharge region before power initiation. When a potential is applied, electrons are accelerated toward the anode. As these electrons accelerate, they collide with gas atoms. A fraction of these collisions are of sufficient energy to remove an electron from a support gas atom, forming an ion. These ions are, in turn, accelerated toward the cathode. These ions impinge on the surface of the cathode, sputtering sample atoms from the surface. Sputtered atoms that do not redeposit on the surface diffuse into the excitation/ionization regions of the plasma where they can undergo excitation and/or ionization via a number of collisional processes. GD sources offer a number of distinct advantages that make them well suited for specific types of analyses. These sources afford direct analysis of solid samples, thus minimizing the sample preparation required for analysis. The nature of the plasma also provides mutually exclusive atomization and excitation processes that help to minimize the matrix effects that plague so many other elemental techniques. Unfortunately, the GD source functions optimally in a dry environment, making analysis of solutions more difficult. These sources also suffer from difficulties associated with analyzing nonconductingsamples. In this article, first, the principles of operation of the GD plasma are reviewed, with an emphasis on how those principles relate to optical spectroscopy and mass spectrometry. Basic applications of the GD techniques are considered next. These include bulk analysis, surface analysis, and the analysis of solution samples. The requirements necessary to obtain optical information are addressed following the analytical applications. This section focuses on the instrumentation needed to make optical measurements using the GD as an atomization/excitation source. Finally, mass spectrometric instrumentation and interfaces are addressed as they pertain to the use of a GD plasma as an ion source. GDsources provide analytically useful gas-phase species from solid samples. These sources can be interfaced with avariety of spectroscopic and spectrometric instruments for both quantitative and qualitative analysis.
Address
Corporate Author Thesis
Publisher Wiley Place of Publication Chichester Editor
Language Wos Publication Date 0000-00-00
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN ISBN 0471976709 Additional Links UA library record
Impact Factor Times cited Open Access
Notes Approved Most recent IF: NA
Call Number UA @ lucian @ c:irua:78169 Serial 1352
Permanent link to this record
 

 
Author (down) Song, C.-H.; Attri, P.; Ku, S.-K.; Han, I.; Bogaerts, A.; Choi, E.H.
Title Cocktail of reactive species generated by cold atmospheric plasma: oral administration induces non-small cell lung cancer cell death Type A1 Journal article
Year 2021 Publication Journal Of Physics D-Applied Physics Abbreviated Journal J Phys D Appl Phys
Volume 54 Issue 18 Pages 185202
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Non-small cell lung cancer (NSCLC) is the most common type of lung cancer, with 85% of all lung cancer reported as NSCLC. Moreover, there are no effective treatments in advanced NSCLC. This study shows for the first time that oral administration of plasma-treated water (PTW) can cure advanced NSCLC. The cold plasma in water generates a cocktail of reactive species, and oral administration of this cocktail to mice showed no toxicities even at the highest dose of PTW, after a single dose and repeated doses for 28 d in mice. In vivo studies reveal that PTW showed favorable anticancer effects on chemo-resistant lung cancer, similarly to gefitinib treatment as a reference drug in a chemo-resistant NSCLC model. The anticancer activities of PTW seem to be involved in inhibiting proliferation and angiogenesis and enhancing apoptosis in the cancer cells. Interestingly, the PTW contributes to enhanced immune response and improved cachexia in the model.
Address
Corporate Author Thesis
Publisher Place of Publication Editor
Language Wos 000621503200001 Publication Date 2021-05-06
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0022-3727 ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.588 Times cited Open Access OpenAccess
Notes National Research Foundation (NRF) of Korea, NRF-2016K1A4A3914113 ; We gratefully acknowledge financial support from the Leading Foreign Research Institute Recruitment program (Grant # NRF-2016K1A4A3914113) through the Basic Science Research Program of the National Research Foundation (NRF) of Korea and in part by Kwangwoon University. Approved Most recent IF: 2.588
Call Number PLASMANT @ plasmant @c:irua:176649 Serial 6747
Permanent link to this record
 

 
Author (down) Somers, W.; Dubreuil, M.F.; Neyts, E.C.; Vangeneugden, D.; Bogaerts, A.
Title Incorporation of fluorescent dyes in atmospheric pressure plasma coatings for in-line monitoring of coating homogeneity Type A1 Journal article
Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 11 Issue 7 Pages 678-684
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract This paper reports on the incorporation of three commercial fluorescent dyes, i.e., rhodamine 6G, fluorescein, and fluorescent brightener 184, in plasma coatings, by utilizing a dielectric barrier discharge (DBD) reactor, and the subsequent monitoring of the coatings homogeneity based on the emitted fluorescent light. The plasma coatings are qualitatively characterized with fluorescence microscopy, UVvis spectroscopy and profilometry for the determination of the coating thickness. The emitted fluorescent light of the coating correlates to the amount of dye per area, and deviations of these factors can hence be observed by monitoring the intensity of this light. This allows monitoring the homogeneity of the plasma coatings in a fast and simple way, without making major adjustments to the process.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000340416300007 Publication Date 2014-05-03
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 3 Open Access
Notes Approved Most recent IF: 2.846; 2014 IF: 2.453
Call Number UA @ lucian @ c:irua:118063 Serial 1598
Permanent link to this record
 

 
Author (down) Somers, W.; Bogaerts, A.; van Duin, A.C.T.; Neyts, E.C.
Title Interactions of plasma species on nickel catalysts : a reactive molecular dynamics study on the influence of temperature and surface structure Type A1 Journal article
Year 2014 Publication Applied catalysis : B : environmental Abbreviated Journal Appl Catal B-Environ
Volume 154 Issue Pages 1-8
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Methane reforming by plasma catalysis is a complex process that is far from understood. It requires a multidisciplinary approach which ideally takes into account all effects from the plasma on the catalyst, and vice versa. In this contribution, we focus on the interactions of CHx (x = {1,2,3}) radicals that are created in the plasma with several nickel catalyst surfaces. To this end, we perform reactive molecular dynamics simulations, based on the ReaxFF potential, in a wide temperature range of 4001600 K. First, we focus on the H2 formation as a function of temperature and surface structure. We observe that substantial H2 formation is obtained at 1400 K and above, while the role of the surface structure seems limited. Indeed, in the initial stage, the type of nickel surface influences the CH bond breaking efficiency of adsorbed radicals; however, the continuous carbon diffusion into the surface gradually diminishes the surface crystallinity and therefore reduces the effect of surface structure on the H2 formation probability. Furthermore, we have also investigated to what extent the species adsorbed on the catalyst surface can participate in surface reactions more in general, for the various surface structures and as a function of temperature. These results are part of the ongoing research on the methane reforming by plasma catalysis, a highly interesting yet complex alternative to conventional reforming processes.
Address
Corporate Author Thesis
Publisher Place of Publication Amsterdam Editor
Language Wos 000335098800001 Publication Date 2014-02-06
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0926-3373; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 9.446 Times cited 23 Open Access
Notes Approved Most recent IF: 9.446; 2014 IF: 7.435
Call Number UA @ lucian @ c:irua:114607 Serial 1686
Permanent link to this record
 

 
Author (down) Somers, W.; Bogaerts, A.; van Duin, A.C.T.; Neyts, E.C.
Title Plasma species interacting with nickel surfaces : toward an atomic scale understanding of plasma-catalysis Type A1 Journal article
Year 2012 Publication The journal of physical chemistry: C : nanomaterials and interfaces Abbreviated Journal J Phys Chem C
Volume 116 Issue 39 Pages 20958-20965
Keywords A1 Journal article; Engineering sciences. Technology; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The adsorption probability and reaction behavior of CHx plasma species on various nickel catalyst surfaces is investigated by means of reactive molecular dynamics (MD) simulations using the ReaxFF potential. Such catalysts are used in the reforming of hydrocarbons and in the growth of carbon nanotubes, and further insight in the underlying mechanisms of these processes is needed to increase their applicability. Single and consecutive impacts of CHx radicals (x={1,2,3}) were performed on four different Ni surfaces, at a temperature of 400 K. The adsorption probability is shown to be related to the number of free electrons, i.e. a higher number leads to more adsorptions, and the steric hindrance caused by the hydrogen atoms bonded to the impacting CHx species. Furthermore, some of the CH bonds break after adsorption, which generally leads to diffusion of the hydrogen atom over the surface. Additionally, these adsorbed H-atoms can be used in reactions to form new molecules, such as CH4 and C2Hx, although this is dependent on the precise morphology of the surface. New molecules are also formed by subtraction of H-atoms from adsorbed radicals, leading to occasional formation of H2 and C2Hx molecules.
Address
Corporate Author Thesis
Publisher Place of Publication Washington, D.C. Editor
Language Wos 000309375700040 Publication Date 2012-09-10
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1932-7447;1932-7455; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 4.536 Times cited 37 Open Access
Notes Approved Most recent IF: 4.536; 2012 IF: 4.814
Call Number UA @ lucian @ c:irua:101522 Serial 2640
Permanent link to this record