toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author (up) Kolev, S.; Sun, S.; Trenchev, G.; Wang, W.; Wang, H.; Bogaerts, A. pdf  url
doi  openurl
  Title Quasi-Neutral Modeling of Gliding Arc Plasmas: Quasi-Neutral Modeling of Gliding Arc Plasmas Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 14 Pages 1600110  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The modelling of a gliding arc discharge (GAD) is studied by means of the quasineutral (QN) plasma modelling approach. The model is first evaluated for reliability and proper description of a gliding arc discharge at atmospheric pressure, by comparing with a more elaborate non-quasineutral (NQN) plasma model in two different geometries – a 2D axisymmetric and a Cartesian geometry. The NQN model is considered as a reference, since it provides a continuous self-consistent plasma description, including the near electrode regions. In general, the results of the QN model agree very well with those obtained from the NQN model. The small differences between both models are attributed to the approximations in the derivation of the QN model. The use of the QN model provides a substantial reduction of the computation time compared to the NQN model, which is crucial for the development of more complex models in three dimensions or with complicated chemistries. The latter is illustrated for (i) a reverse vortex flow(RVF) GAD in argon, and (ii) a GAD in CO2. The RVF discharge is modelled in three dimensions and the effect of the turbulent heat transport on the plasma and gas characteristics is

discussed. The GAD model in CO2 is in a 1D geometry with axial symmetry and provides results for the time evolution of the electron, gas and vibrational temperature of CO2, as well as for the molar fractions of the different species.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000403074000011 Publication Date 2016-10-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 9 Open Access Not_Open_Access  
  Notes Methusalem financing of the University of Antwerp; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @ c:irua:142982 Serial 4570  
Permanent link to this record
 

 
Author (up) Laroussi, M.; Bogaerts, A.; Barekzi, N. pdf  url
doi  openurl
  Title Plasma processes and polymers third special issue on plasma and cancer Type Editorial
  Year 2016 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 13 Issue 13 Pages 1142-1143  
  Keywords Editorial; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000393131600001 Publication Date 2016-10-20  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 1 Open Access  
  Notes Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @ c:irua:141546 Serial 4474  
Permanent link to this record
 

 
Author (up) Lin, A.; Biscop, E.; Gorbanev, Y.; Smits, E.; Bogaerts, A. pdf  url
doi  openurl
  Title Toward defining plasma treatment dose : the role of plasma treatment energy of pulsed‐dielectric barrier discharge in dictating in vitro biological responses Type A1 Journal article
  Year 2022 Publication Plasma Processes And Polymers Abbreviated Journal Plasma Process Polym  
  Volume 19 Issue 3 Pages e2100151  
  Keywords A1 Journal article; Pharmacology. Therapy; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The energy dependence of a pulsed-dielectric barrier discharge (DBD) plasma treatment on chemical species production and biological responses was investigated. We hypothesized that the total plasma energy delivered during treatment encompasses the influence of major application parameters. A microsecond-pulsed DBD system was used to treat three different cancer cell lines and cell viability was analyzed. The energy per pulse was measured and the total plasma treatment energy was controlled by adjusting the pulse frequency, treatment time, and application distance. Our data suggest that the delivered plasma energy plays a predominant role in stimulating a biological response in vitro. This study aids in developing steps toward defining a plasma treatment unit and treatment dose for biomedical and clinical research.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000711907800001 Publication Date 2021-10-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.5 Times cited Open Access OpenAccess  
  Notes Approved Most recent IF: 3.5  
  Call Number UA @ admin @ c:irua:182916 Serial 7219  
Permanent link to this record
 

 
Author (up) Moors, K.; Sorée, B.; Magnus, W. url  openurl
  Title Modeling and tackling resistivity scaling in metal nanowires Type P1 Proceeding
  Year 2015 Publication International Conference on Simulation of Semiconductor Processes and Devices : [proceedings] T2 – International Conference on Simulation of Semiconductor Processes and, Devices (SISPAD), SEP 09-11, 2015, Washington, DC Abbreviated Journal  
  Volume Issue Pages 222-225  
  Keywords P1 Proceeding; Condensed Matter Theory (CMT)  
  Abstract A self-consistent analytical solution of the multi-subband Boltzmann transport equation with collision term describing grain boundary and surface roughness scattering is presented to study the resistivity scaling in metal nanowires. The different scattering mechanisms and the influence of their statistical parameters are analyzed. Instead of a simple power law relating the height or width of a nanowire to its resistivity, the picture appears to be more complicated due to quantum-mechanical scattering and quantization effects, especially for surface roughness scattering.  
  Address  
  Corporate Author Thesis  
  Publisher Ieee Place of Publication New york Editor  
  Language Wos Publication Date  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 978-1-4673-7860-4 ISBN Additional Links UA library record; WoS full record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:135046 Serial 4205  
Permanent link to this record
 

 
Author (up) Morais, E.; Bogaerts, A. pdf  url
doi  openurl
  Title Modelling the dynamics of hydrogen synthesis from methane in nanosecond‐pulsed plasmas Type A1 Journal Article
  Year 2024 Publication Plasma processes and polymers Abbreviated Journal Plasma Processes & Polymers  
  Volume 21 Issue 1 Pages  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A chemical kinetics model was developed to characterise the gas‐phase dynamics of H<sub>2</sub>production in nanosecond‐pulsed CH<sub>4</sub>plasmas. Pulsed behaviour was observed in the calculated electric field, electron temperature and species densities at all pressures. The model agrees reasonably with experimental results, showing CH<sub>4</sub>conversion at 30% and C<sub>2</sub>H<sub>2</sub>and H<sub>2</sub>as major products. The underlying mechanisms in CH<sub>4</sub>dissociation and H<sub>2</sub>formation were analysed, highlighting the large contribution of vibrationally excited CH<sub>4</sub>and H<sub>2</sub>to coupling energy from the plasma into gas‐phase heating, and revealing that H<sub>2</sub>synthesis is not affected by applied pressure, with selectivity remaining unchanged at ~42% in the 1–5 bar range.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 001091258700001 Publication Date 2023-10-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.5 Times cited Open Access Not_Open_Access  
  Notes We gratefully acknowledge financial support by the Flemish Government through the Moonshot cSBO project “Power‐to‐Olefins” (P2O; HBC.2020.2620) and funding from the Independent Research Fund Denmark (project nr. 0217‐00231B). Approved Most recent IF: 3.5; 2024 IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:201192 Serial 8983  
Permanent link to this record
 

 
Author (up) Neyts, E.; Bogaerts, A.; van de Sanden, M.C.M. doi  openurl
  Title Modeling PECVD growth of nanostructured carbon materials Type A1 Journal article
  Year 2009 Publication High temperature material processes Abbreviated Journal High Temp Mater P-Us  
  Volume 13 Issue 3/4 Pages 399-412  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We present here some of our modeling efforts for PECVD growth of nanostructured carbon materials with focus on amorphous hydrogenated carbon. Experimental data from an expanding thermal plasma setup were used as input for the simulations. Attention was focused both on the film growth mechanism, as well as on the hydrocarbon reaction mechanisms during growth of the films. It is found that the reaction mechanisms and sticking coefficients are dependent on the specific surface sites, and the structural properties of the growth radicals. The film growth results are in correspondence with the experiment. Furthermore, it is found that thin a-C:H films can be densified using an additional H-flux towards the substrate.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000274202300012 Publication Date 2010-02-01  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1093-3611; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:80991 Serial 2138  
Permanent link to this record
 

 
Author (up) Neyts, E.C.; Bal, K.M. pdf  doi
openurl 
  Title Effect of electric fields on plasma catalytic hydrocarbon oxidation from atomistic simulations Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 6 Pages e1600158  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The catalytic oxidative dehydrogenation of hydrocarbons is an industrially important process, in which selectivity is a key issue. We here investigate the conversion of methanol to formaldehyde on a vanadia surface employing long timescale simulations, reaching a time scale of seconds. In particular, we compare the thermal process to the case where an additional external electric field is applied, as would be the case in a direct plasma-catalysis setup. We find that the electric field influences the retention time of the molecules at the catalyst surface. These simulations provide an atomic scale insight in the thermal catalytic oxidative dehydrogenation process, and in how an external electric field may affect this process.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000403699900013 Publication Date 2016-11-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 2 Open Access Not_Open_Access  
  Notes Approved Most recent IF: 2.846  
  Call Number UA @ lucian @ c:irua:144210 Serial 4647  
Permanent link to this record
 

 
Author (up) Neyts, E.C.; Brault, P. pdf  url
doi  openurl
  Title Molecular Dynamics Simulations for Plasma-Surface Interactions: Molecular Dynamics Simulations… Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 14 Pages 1600145  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Plasma-surface interactions are in general highly complex due to the interplay of many concurrent processes. Molecular dynamics simulations provide insight in some of these processes, subject to the accessible time and length scales, and the availability of suitable force fields. In this introductory tutorial-style review, we aim to describe the current capabilities and limitations of molecular dynamics simulations in this field, restricting ourselves to low-temperature nonthermal plasmas. Attention is paid to the simulation of the various fundamental processes occurring, including sputtering, etching, implantation, and deposition, as well as to what extent the basic plasma components can be accounted for, including ground state and excited species, electric fields, ions, photons, and electrons. A number of examples is provided, giving an bird’s eye overview of the current state of the field.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000393184600009 Publication Date 2016-09-07  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 13 Open Access Not_Open_Access  
  Notes Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @ c:irua:141758 Serial 4488  
Permanent link to this record
 

 
Author (up) Nozaki, T.; Bogaerts, A.; Tu, X.; Sanden, R. pdf  url
doi  openurl
  Title Special issue: Plasma Conversion Type Editorial
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 14 Pages 1790061  
  Keywords Editorial; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000403699900015 Publication Date 2017-06-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited Open Access Not_Open_Access  
  Notes Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @ c:irua:144211 Serial 4578  
Permanent link to this record
 

 
Author (up) Ramakers, M.; Michielsen, I.; Aerts, R.; Meynen, V.; Bogaerts, A. pdf  url
doi  openurl
  Title Effect of argon or helium on the CO2 conversion in a dielectric barrier discharge Type A1 Journal article
  Year 2015 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 12 Issue 12 Pages 755-763  
  Keywords A1 Journal article; Laboratory of adsorption and catalysis (LADCA); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract This paper demonstrates that the CO2 conversion in a dielectric barrier discharge rises drastically upon addition of Ar or He, and the effect is more pronounced for Ar than for He. The effective CO2 conversion, on the other hand, drops upon addition of Ar or He, which is logical due to the lower CO2 content in the gas mixture, and the same is true for the energy efficiency, because a considerable fraction of the energy is then consumed into ionization/excitation of Ar or He atoms. The higher absolute CO2 conversion upon addition of Ar or He can be explained by studying in detail the Lissajous plots and the current profiles. The breakdown voltage is lower in the CO2/Ar and CO2/He mixtures, and the discharge gap is more filled with plasma, which enhances the possibility for CO2 conversion. The rates of electron impact excitationdissociation of CO2, estimated from the electron densities and mean electron energies, are indeed higher in the CO2/Ar and (to a lower extent) in the CO2/He mixtures, compared to the pure CO2 plasma. Moreover, charge transfer between Ar+ or Ar2+ ions and CO2, followed by electron-ion dissociative recombination of the CO2+ ions, might also contribute to, or even be dominant for the CO2 dissociation. All these effects can explain the higher CO2 conversion, especially upon addition of Ar, but also upon addition of He.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000359672400007 Publication Date 2015-02-12  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 63 Open Access  
  Notes Approved Most recent IF: 2.846; 2015 IF: 2.453  
  Call Number c:irua:126822 Serial 799  
Permanent link to this record
 

 
Author (up) Razzokov, J.; Yusupov, M.; Vanuytsel, S.; Neyts, E.C.; Bogaerts, A. pdf  url
doi  openurl
  Title Phosphatidylserine flip-flop induced by oxidation of the plasma membrane: a better insight by atomic scale modeling Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 10 Pages 1700013  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract We perform molecular dynamics simulations to study the flip-flop motion of phosphatidylserine (PS) across the plasma membrane upon increasing oxidation degree of the membrane. Our computational results show that an increase of the oxidation degree in the lipids leads to a decrease of the free energy barrier for translocation of PS through the membrane. In other words, oxidation of the lipids facilitates PS flip-flop motion across the membrane, because in native phospholipid bilayers this is only a “rare event” due to the high energy barriers for the translocation of PS. The present study provides an atomic-scale insight into the mechanisms of the PS flip-flop upon oxidation of lipids, as produced for example by cold atmospheric plasma, in living cells.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000413045800010 Publication Date 2017-04-05  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 9 Open Access Not_Open_Access  
  Notes Fonds Wetenschappelijk Onderzoek, 1200216N ; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:149567 Serial 4910  
Permanent link to this record
 

 
Author (up) Reyntjens, P.D.; Tiwari, S.; Van de Put, M.L.; Sorée, B.; Vandenberghe, W.G. pdf  doi
openurl 
  Title Ab-initio study of magnetically intercalated Tungsten diselenide Type P1 Proceeding
  Year 2020 Publication International Conference on Simulation of Semiconductor Processes and Devices : [proceedings] T2 – International Conference on Simulation of Semiconductor Processes and, Devices (SISPAD), SEP 23-OCT 06, 2020 Abbreviated Journal  
  Volume Issue Pages 97-100  
  Keywords P1 Proceeding; Condensed Matter Theory (CMT)  
  Abstract We theoretically investigate the effect of intercalation of third row transition metals (Co, Cr, Fe, Mn, Ti and V) in the layers of WSe2. Using density functional theory (DFT), we investigate the structural stability. We also compute the DFT energies of various magnetic spin configurations. Using these energies, we construct a Heisenberg Hamiltonian and perform a Monte Carlo study on each WSe2 + intercalant system to estimate the Curie or Neel temperature. We find ferromagnetic ground states for Ti and Cr intercalation, with Curie temperatures of 31K and 225K, respectively. In Fe-intercalated WSe2, we predict that antiferromagnetic ordering is present up to 564K. For V intercalation, we find that the system exhibits a double phase transition.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000636981000025 Publication Date 2020-11-02  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 978-4-86348-763-5 ISBN Additional Links UA library record; WoS full record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ admin @ c:irua:178345 Serial 7402  
Permanent link to this record
 

 
Author (up) Rezaei, F.; Gorbanev, Y.; Chys, M.; Nikiforov, A.; Van Hulle, S.W.H.; Cos, P.; Bogaerts, A.; De Geyter, N. url  doi
openurl 
  Title Investigation of plasma-induced chemistry in organic solutions for enhanced electrospun PLA nanofibers Type A1 Journal article
  Year 2018 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 15 Issue 6 Pages 1700226  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Electrospinning is a versatile technique for the fabrication of polymer-based nano/microfibers. Both physical and chemical characteristics of pre-electrospinning polymer solutions affect the morphology and chemistry of electrospun nanofibers. An atmospheric-pressure plasma jet has previously been shown to induce physical modifications in polylactic acid (PLA) solutions. This work aims at investigating the plasma-induced chemistry in organic solutions of PLA, and their effects on the resultant PLA nanofibers. Therefore, very broad range of gas, liquid, and solid (nanofiber) analyzing techniques has been applied. Plasma alters the acidity of the solutions. SEM studies illustrated that complete fiber morphology enhancement only occurred when both PLA and solvent molecules were exposed to preelectrospinning plasma treatment.

Additionally, the surface

chemistry of the PLA nanofibers

was mostly preserved.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000436407300005 Publication Date 2018-03-24  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 12 Open Access Not_Open_Access  
  Notes Fonds Wetenschappelijk Onderzoek, G.0379.15N ; FP7 Ideas: European Research Council, 335929 (PLASMATS) ; European Marie Sklodowska-Curie Individual Fellowship “LTPAM”, 657304 ; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:152173 Serial 4992  
Permanent link to this record
 

 
Author (up) Saraiva, M.; Chen, H.; Leroy, W.P.; Mahieu, S.; Jehanathan, N.; Lebedev, O.; Georgieva, V.; Persoons, R.; Depla, D. pdf  doi
openurl 
  Title Influence of Al content on the properties of MgO grown by reactive magnetron sputtering Type A1 Journal article
  Year 2009 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 6 Issue S:1 Pages S751-S754  
  Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In the present work, reactive magnetron sputtering in DC mode was used to grow complex oxide thin films, starting from two separate pure metal targets. A series of coatings was produced with a stoichiometry of the film ranging from MgO, over MgxAlyOz to Al2O3. The surface energy, crystallinity, hardness, refractive index, and surface roughness were investigated. A relationship between all properties studied and the Mg content of the samples was found. A critical compositional region for the Mg-Al-O system where all properties exhibit a change was noticed.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000272302900144 Publication Date 2009-09-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 13 Open Access  
  Notes Iwt Approved Most recent IF: 2.846; 2009 IF: 4.037  
  Call Number UA @ lucian @ c:irua:79363 Serial 1613  
Permanent link to this record
 

 
Author (up) Snoeckx, R.; Rabinovich, A.; Dobrynin, D.; Bogaerts, A.; Fridman, A. pdf  url
doi  openurl
  Title Plasma-based liquefaction of methane: The road from hydrogen production to direct methane liquefaction Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 14 Pages 1600115  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract For the energy industry, a process that is able to transform methane—being the prime component of natural gas—efficiently into a liquid product would be equivalent to a goose with golden eggs. As such it is no surprise that research efforts in this field already date back to the nineteen hundreds. Plasma technology can be considered to be a novel player in this field, but nevertheless one with great potential. Over the past decades this technology has evolved from sole hydrogen production, over indirect methane liquefaction to eventually direct plasma-assisted methane liquefaction processes. An overview of this evolution and these processes is presented, from which it becomes clear that the near future probably lies with the direct two phase plasma-assisted methane liquefaction and the far future with the direct oxidative methane liquefaction.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000403699900008 Publication Date 2016-10-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 16 Open Access Not_Open_Access  
  Notes Advanced Plasma Solutions; Drexel University; Federaal Wetenschapsbeleid; Fonds De La Recherche Scientifique – FNRS, G038316N V403616N ; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @ c:irua:144212 Serial 4622  
Permanent link to this record
 

 
Author (up) Somers, W.; Dubreuil, M.F.; Neyts, E.C.; Vangeneugden, D.; Bogaerts, A. pdf  doi
openurl 
  Title Incorporation of fluorescent dyes in atmospheric pressure plasma coatings for in-line monitoring of coating homogeneity Type A1 Journal article
  Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 11 Issue 7 Pages 678-684  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract This paper reports on the incorporation of three commercial fluorescent dyes, i.e., rhodamine 6G, fluorescein, and fluorescent brightener 184, in plasma coatings, by utilizing a dielectric barrier discharge (DBD) reactor, and the subsequent monitoring of the coatings homogeneity based on the emitted fluorescent light. The plasma coatings are qualitatively characterized with fluorescence microscopy, UVvis spectroscopy and profilometry for the determination of the coating thickness. The emitted fluorescent light of the coating correlates to the amount of dye per area, and deviations of these factors can hence be observed by monitoring the intensity of this light. This allows monitoring the homogeneity of the plasma coatings in a fast and simple way, without making major adjustments to the process.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000340416300007 Publication Date 2014-05-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 3 Open Access  
  Notes Approved Most recent IF: 2.846; 2014 IF: 2.453  
  Call Number UA @ lucian @ c:irua:118063 Serial 1598  
Permanent link to this record
 

 
Author (up) Tampieri, F.; Gorbanev, Y.; Sardella, E. url  doi
openurl 
  Title Plasma‐treated liquids in medicine: Let's get chemical Type A1 Journal Article
  Year 2023 Publication Plasma Processes and Polymers Abbreviated Journal Plasma Processes & Polymers  
  Volume 20 Issue 9 Pages e2300077  
  Keywords A1 Journal Article; Plasma, laser ablation and surface modeling Antwerp (PLASMANT) ;  
  Abstract Fundamental and applied research on plasma‐treated liquids for biomedical applications was boosted in the last few years, dictated by their advantages with respect to direct treatments. However, often, the lack of consistent analysis at a molecular level of these liquids, and of the processes used to produce them, have raised doubts of their usefulness in the clinic. The aim of this article is to critically discuss some basic aspects related to the use of plasma‐treated liquids in medicine, with a focus on their chemical composition. We analyze the main liquids used in the field, how they are affected by non‐thermal plasmas, and the possibility to replicate them without plasma treatment.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 001005060700001 Publication Date 2023-06-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.5 Times cited Open Access Not_Open_Access  
  Notes We thank COST Actions CA20114 (Therapeutical Applications of Cold Plasmas) and CA19110 (Plasma Applications for Smart and Sustainable Agriculture) for the stimulating environment provided. Francesco Tampieri wishes to thank Dr. Cristina Canal for the helpful discussion during the planning stage of this paper. Approved Most recent IF: 3.5; 2023 IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:197386 Serial 8814  
Permanent link to this record
 

 
Author (up) Teodoru, S.; Kusano, Y.; Bogaerts, A. pdf  doi
openurl 
  Title The effect of O2 in a humid O2/N2/NOx gas mixture on NOx and N2O remediation by an atmospheric pressure dielectric barrier discharge Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 7 Pages 652-689  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A numerical model for NxOy remediation in humid air plasma produced with a dielectric barrier discharge at atmospheric pressure is presented. Special emphasis is given to NO2 and N2O reduction with the decrease of O2 content in the feedstock gas. A detailed reaction mechanism including electronic and ionic processes, as well as the contribution of radicals and excited atomic/molecular species is proposed. The temporal evolution of the densities of NO, NO2 and N2O species, and some other by-products, is analyzed, and the major pathways for the NxOy remediation are discussed for one pulse. Subsequently, simulations are presented for a multi-pulses case, where three O2 contents are tested for optimization of the remediation process. It is found that when the gas mixture O2/N2/H2O/NOx has no initial O2 content, the best NOx and N2O remediation is achieved.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000306279500005 Publication Date 2012-03-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 24 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:100920 Serial 842  
Permanent link to this record
 

 
Author (up) Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
  Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 11 Issue 1 Pages 52-62  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000330588800006 Publication Date 2013-11-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 1 Open Access  
  Notes Approved Most recent IF: 2.846; 2014 IF: 2.453  
  Call Number UA @ lucian @ c:irua:115735 Serial 1256  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 5 Pages 522-539  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000303858100010 Publication Date 2012-03-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number UA @ lucian @ c:irua:99127 Serial 2142  
Permanent link to this record
 

 
Author (up) Tinck, S.; Bogaerts, A.; Shamiryan, D. doi  openurl
  Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
  Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 8 Issue 6 Pages 490-499  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000292116800003 Publication Date 2011-03-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2011 IF: 2.468  
  Call Number UA @ lucian @ c:irua:90926 Serial 3014  
Permanent link to this record
 

 
Author (up) Tinck, S.; De Schepper, P.; Bogaerts, A. pdf  doi
openurl 
  Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
  Year 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 10 Issue 8 Pages 714-730  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000327790000006 Publication Date 2013-05-28  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 3 Open Access  
  Notes Approved Most recent IF: 2.846; 2013 IF: 2.964  
  Call Number UA @ lucian @ c:irua:109900 Serial 2397  
Permanent link to this record
 

 
Author (up) Tinck, S.; Tillocher, T.; Georgieva, V.; Dussart, R.; Neyts, E.; Bogaerts, A. pdf  url
doi  openurl
  Title Concurrent effects of wafer temperature and oxygen fraction on cryogenic silicon etching with SF6/O2plasmas Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 9 Pages 1700018  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Cryogenic plasma etching is a promising technique for high-control wafer development with limited plasma induced damage. Cryogenic wafer temperatures effectively reduce surface damage during etching, but the fundamental mechanism is not well understood. In this study, the influences of wafer temperature, gas mixture and substrate bias on the (cryogenic) etch rates of Si with SF6/O2 inductively coupled plasmas are experimentally and computationally investigated. The etch rates are measured in situ with double-point reflectometry and a hybrid computational Monte Carlo – fluid model is applied to calculate plasma properties. This work allows the reader to obtain a better insight in the effects of wafer temperature on the etch rate and to find operating conditions for successful anisotropic (cryo)etching.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000410773200012 Publication Date 2017-04-03  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited Open Access Not_Open_Access  
  Notes Fonds Wetenschappelijk Onderzoek, 0880.212.840 ; Hercules Foundation; Flemish Government (Department EWI); Universiteit Antwerpen; Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @c:irua:145637 Serial 4708  
Permanent link to this record
 

 
Author (up) Tirez, K.; Vanhoof, C.; Bronders, J.; Seuntjens, P.; Bleux, N.; Berghmans, P.; De Brucker, N.; Vanhaecke, F. doi  openurl
  Title Do ICP-MS based methods fulfill the EU monitoring requirements for the determination of elements in our environment? Type A1 Journal article
  Year 2015 Publication Environmental science : processes & impacts Abbreviated Journal  
  Volume 17 Issue 12 Pages 2034-2050  
  Keywords A1 Journal article; Sustainable Energy, Air and Water Technology (DuEL)  
  Abstract Undoubtedly, the most important advance in the environmental regulatory monitoring of elements of the last decade is the widespread introduction of ICP-mass spectrometry (ICP-MS) due to standards developed by the European Committee for Standardization. The versatility of ICP-MS units as a tool for the determination of major, minor and trace elements (Al, As, Ba, Ca, Cd, Co, Cr, Cu, Fe, Hg, K, Mg, Mn, Mo, Na, Ni, P, Pb, Sb, Se, Sn, Ti, V and Zn) in surface water, groundwater, river sediment, topsoil, subsoil, fine particulates and atmospheric deposition is illustrated in this paper. Ranges of background concentrations for major, minor and trace elements obtained from a regional case study (Flanders, Belgium) are summarized for all of these environmental compartments and discussed in the context of a harmonized implementation of European regulatory monitoring requirements. The results were derived from monitoring programs in support of EU environmental quality directives and were based on a selection of (non-polluted) background locations. Because of the availability of ICP-MS instruments nowadays, it can be argued that the main hindrance for meeting the European environmental monitoring requirements is no longer the technical feasibility of analysis at these concentration levels, but rather (i) potential contamination during sampling and analysis, (ii) too limited implementation of quality control programs, validating the routinely applied methods (including sampling and low level verification) and (iii) lack of harmonization in reporting of the chemical environmental status between the individual member states.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000365915600005 Publication Date 2015-10-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 2050-7887; 2050-7895 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor Times cited Open Access  
  Notes Approved no  
  Call Number UA @ admin @ c:irua:130316 Serial 7821  
Permanent link to this record
 

 
Author (up) Tiwari, S.; Van de Put, M.L.; Sorée, B.; Vandenberghe, W.G. pdf  doi
openurl 
  Title Ab initio modeling of few-layer dilute magnetic semiconductors Type P1 Proceeding
  Year 2021 Publication International Conference on Simulation of Semiconductor Processes and Devices : [proceedings] T2 – International Conference on Simulation of Semiconductor Processes and, Devices (SISPAD), SEP 27-29, 2021, Dallas, TX Abbreviated Journal  
  Volume Issue Pages 141-145  
  Keywords P1 Proceeding; Engineering sciences. Technology; Condensed Matter Theory (CMT)  
  Abstract We present a computational model to model the magnetic structure of two-dimensional (2D) dilute-magnetic-semiconductors (DMS) both the monolayers and multilayers using first-principles density functional theory (DFT), as well as their magnetic phase transition as a function of temperature using Monte-Carlo simulations. Using our method, we model the magnetic structure of bulk, bilayer, and monolayer MoS2 substitutionally doped with Fe atoms. We find that the out-of-plane interaction in bilayer MoS2 is weakly ferromagnetic, whereas in bulk MoS2 it is strongly anti-ferromagnetic. Finally, we show that the magnetic order is more robust in bilayer Fe-doped MoS2 compared to the monolayer and results in a room-temperature FM at an atomic substitution of 14-16%.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000766985400034 Publication Date 2021-11-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 978-1-6654-0685-7 ISBN Additional Links UA library record; WoS full record  
  Impact Factor Times cited Open Access Not_Open_Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ admin @ c:irua:187291 Serial 7401  
Permanent link to this record
 

 
Author (up) Tiwari, S.; Van de Put, M.L.; Sorée, B.; Vandenberghe, W.G. pdf  doi
openurl 
  Title Carrier transport in a two-dimensional topological insulator nanoribbon in the presence of vacancy defects Type P1 Proceeding
  Year 2018 Publication International Conference on Simulation of Semiconductor Processes and Devices : [proceedings] T2 – International Conference on Simulation of Semiconductor Processes and, Devices (SISPAD), SEP 24-26, 2018, Austin, TX Abbreviated Journal  
  Volume Issue Pages 92-96  
  Keywords P1 Proceeding; Engineering sciences. Technology; Condensed Matter Theory (CMT)  
  Abstract We model transport through two-dimensional topological insulator (TI) nanoribbons. To model the quantum transport, we employ the non-equilibrium Green's function approach. With the presented approach, we study the effect of lattice imperfections on the carrier transport. We observe that the topologically protected edge states of TIs are robust against a high percentage (2%) of vacancy defects. We also investigate tunneling of the edge states in two decoupled TI nanoribbons.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000516619300024 Publication Date 2018-12-08  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 978-1-5386-6790-3; 1946-1577; 978-1-5386-6791-0 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor Times cited Open Access  
  Notes Approved no  
  Call Number UA @ admin @ c:irua:181281 Serial 7579  
Permanent link to this record
 

 
Author (up) Van de Vijver, E.; Van Meirvenne, M.; Vandenhaute, L.; Delefortrie, S.; De Smedt, P.; Saey, T.; Seuntjens, P. doi  openurl
  Title Urban soil exploration through multi-receiver electromagnetic induction and stepped-frequency ground penetrating radar Type A1 Journal article
  Year 2015 Publication Environmental science : processes & impacts Abbreviated Journal  
  Volume 17 Issue 7 Pages 1271-1281  
  Keywords A1 Journal article; Sustainable Energy, Air and Water Technology (DuEL)  
  Abstract In environmental assessments, the characterization of urban soils relies heavily on invasive investigation, which is often insufficient to capture their full spatial heterogeneity. Non-invasive geophysical techniques enable rapid collection of high-resolution data and provide a cost-effective alternative to investigate soil in a spatially comprehensive way. This paper presents the results of combining multi-receiver electromagnetic induction and stepped-frequency ground penetrating radar to characterize a former garage site contaminated with petroleum hydrocarbons. The sensor combination showed the ability to identify and accurately locate building remains and a high-density soil layer, thus demonstrating the high potential to investigate anthropogenic disturbances of physical nature. In addition, a correspondence was found between an area of lower electrical conductivity and elevated concentrations of petroleum hydrocarbons, suggesting the potential to detect specific chemical disturbances. We conclude that the sensor combination provides valuable information for preliminary assessment of urban soils.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000357793300008 Publication Date 2015-06-04  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 2050-7887; 2050-7895 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor Times cited Open Access  
  Notes Approved no  
  Call Number UA @ admin @ c:irua:127130 Serial 8715  
Permanent link to this record
 

 
Author (up) Van der Paal, J.; Fridman, G.; Bogaerts, A. pdf  doi
openurl 
  Title Ceramide cross-linking leads to pore formation: Potential mechanism behind CAP enhancement of transdermal drug delivery Type A1 Journal article
  Year 2019 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 16 Issue 16 Pages 1900122  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In recent years, cold atmospheric plasma (CAP) has been proposed as a novel method to enhance transdermal drug delivery, while avoiding tissue damage. However, the underlying mechanism for the increasing skin permeability upon CAP treatment is still undefined. We propose a mechanism in which CAP-generated reactive species induce cross-linking of skin lipids, leading to the generation of nanopores, thereby facilitating the permeation of drug molecules. Molecular dynamics simulations support this proposed mechanism. Furthermore, our results indicate that to achieve maximum enhancement of the permeability, the optimal treatment will depend on the exact lipid composition of the skin, as well as on the CAP source used.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000479747500001 Publication Date 2019-07-30  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited Open Access  
  Notes Approved Most recent IF: 2.846  
  Call Number UA @ admin @ c:irua:161874 Serial 6287  
Permanent link to this record
 

 
Author (up) Van Laer, K.; Bogaerts, A. pdf  url
doi  openurl
  Title Influence of Gap Size and Dielectric Constant of the Packing Material on the Plasma Behaviour in a Packed Bed DBD Reactor: A Fluid Modelling Study: Influence of Gap Size and Dielectric Constant… Type A1 Journal article
  Year 2017 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 14 Issue 14 Pages 1600129  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A packed bed dielectric barrier discharge (DBD) was studied by means of fluid modelling, to investigate the influence of the dielectric constant of the packing on the plasma characteristics, for two different gap sizes. The electric field strength and electron temperature are much more enhanced in a microgap reactor than

in a mm-gap reactor, leading to more current peaks per half-cycle, but also to non-quasineutral plasma. Increasing the dielectric constant enhances the electric field further, but only up to a certain value of dielectric constant, being 9 for a microgap and 100 for a mm-gap reactor. The enhanced electric field results in a higher electron temperature, but also lower electron density. This last one strongly affects the reaction rate.
 
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000403074000010 Publication Date 2016-09-19  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 23 Open Access Not_Open_Access  
  Notes Acknowledgements: This research was carried out in the framework of the network on Physical Chemistry of Plasma- Surface Interactions – Interuniversity Attraction Poles, phase VII (http://psi-iap7.ulb.ac.be/), and supported by the Belgian Science Policy Office (BELSPO). K. Van Laer is indebted to the Institute for the Promotion of Innovation by Science and Technology in Flanders (IWT Flanders) for financial support. The calculations were carried out using the Turing HPC infrastructure at the CalcUA core facility of the Universiteit Antwerpen (UAntwerpen), a division of the Flemish Supercomputer Center VSC, funded by the Hercules Foundation, the Flemish Government (department EWI) and the UAntwerpen. Approved Most recent IF: 2.846  
  Call Number PLASMANT @ plasmant @ c:irua:142639 Serial 4560  
Permanent link to this record
 

 
Author (up) Van Tendeloo, G.; Schryvers, D. openurl 
  Title Atomic structure of alloys close to phase transitions Type A1 Journal article
  Year 2000 Publication Nucleation and growth processes in materials Abbreviated Journal  
  Volume 580 Issue Pages 283-292  
  Keywords A1 Journal article; Electron microscopy for materials research (EMAT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000165506200043 Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record; WoS full record;  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number UA @ lucian @ c:irua:48377 Serial 197  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: