toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
List View
 |   | 
   print
  Author Title Year Publication Volume Times cited (down) Additional Links Links
Clima, S.; Garbin, D.; Opsomer, K.; Avasarala, N.S.; Devulder, W.; Shlyakhov, I.; Keukelier, J.; Donadio, G.L.; Witters, T.; Kundu, S.; Govoreanu, B.; Goux, L.; Detavernier, C.; Afanas'ev, V.; Kar, G.S.; Pourtois, G. Ovonic threshold-switching GexSey chalcogenide materials : stoichiometry, trap nature, and material relaxation from first principles 2020 Physica Status Solidi-Rapid Research Letters 3 UA library record; WoS full record; WoS citing articles pdf doi
Comrie, C.M.; Ahmed, A.; Smeets, D.; Demeulemeester, J.; Turner, S.; Van Tendeloo, G.; Detavernier, C.; Vantomme, A. Effect of high temperature deposition on CoSi2 phase formation 2013 Journal of applied physics 113 2 UA library record; WoS full record; WoS citing articles pdf doi
Filez, M.; Feng, J.-Y.; Minjauw, M.M.; Solano, E.; Poonkottil, N.; Van Daele, M.; Ramachandran, R.K.; Li, C.; Bals, S.; Poelman, H.; Detavernier, C.; Dendooven, J.; Filez, M.; Minjauw, M.; Solano, E.; Poonkottil, N.; Li, C.; Bals, S.; Dendooven, J. Shuffling atomic layer deposition gas sequences to modulate bimetallic thin films and nanoparticle properties 2022 Chemistry of materials 2 UA library record; WoS full record pdf url doi
Zankowski, S.P.; Van Hoecke, L.; Mattelaer, F.; de Raedt, M.; Richard, O.; Detavernier, C.; Vereecken, P.M. Redox layer deposition of thin films of MnO2 on nanostructured substrates from aqueous solutions 2019 Chemistry of materials 31 UA library record; WoS full record; WoS citing articles doi
Select All    Deselect All
List View
 |   | 
   print

Save Citations:
Export Records: