toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author Yusupov, M.; Bultinck, E.; Depla, D.; Bogaerts, A. doi  openurl
  Title Elucidating the asymmetric behavior of the discharge in a dual magnetron sputter deposition system Type A1 Journal article
  Year 2011 Publication Applied physics letters Abbreviated Journal Appl Phys Lett  
  Volume 98 Issue 13 Pages 131502-131502,3  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A magnetron discharge is characterized by drifts of the charged particles guiding center, caused by the magnetic field, in contrast to unmagnetized discharges. Because of these drifts, a pronounced asymmetry of the discharge can be observed in a dual magnetron setup. In this work, it is found that the shape of the discharge in a dual magnetron configuration depends on the magnetic field configuration. In a closed configuration, strong drifts were observed in one preferential direction, whereas in a mirror configuration the deflection of the discharge was not so pronounced. Our calculations confirm experimental observations.  
  Address  
  Corporate Author Thesis  
  Publisher American Institute of Physics Place of Publication New York, N.Y. Editor  
  Language Wos 000289153600017 Publication Date 2011-04-01  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0003-6951; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.411 Times cited 4 Open Access  
  Notes Approved Most recent IF: 3.411; 2011 IF: 3.844  
  Call Number (up) UA @ lucian @ c:irua:87867 Serial 1026  
Permanent link to this record
 

 
Author De Bie, C.; Martens, T.; van Dijk, J.; Paulussen, S.; Verheyde, B.; Corthals, S.; Bogaerts, A. pdf  doi
openurl 
  Title Dielectric barrier discharges used for the conversion of greenhouse gases: modeling the plasma chemistry by fluid simulations Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 2 Pages 024008,1-024008,11  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The conversion of methane to value-added chemicals and fuels is considered to be one of the challenges of the 21st century. In this paper we study, by means of fluid modeling, the conversion of methane to higher hydrocarbons or oxygenates by partial oxidation with CO2 or O2 in a dielectric barrier discharge. Sixty-nine different plasma species (electrons, ions, molecules, radicals) are included in the model, as well as a comprehensive set of chemical reactions. The calculation results presented in this paper include the conversion of the reactants and the yields of the reaction products as a function of residence time in the reactor, for different gas mixing ratios. Syngas (i.e. H2 + CO) and higher hydrocarbons (C2Hx) are typically found to be important reaction products.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000290719900009 Publication Date 2011-04-02  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 38 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number (up) UA @ lucian @ c:irua:87868 Serial 689  
Permanent link to this record
 

 
Author Si, X.-J.; Zhao, S.-X.; Xu, X.; Bogaerts, A.; Wang, Y.-N. pdf  doi
openurl 
  Title Fluid simulations of frequency effects on nonlinear harmonics in inductively coupled plasma Type A1 Journal article
  Year 2011 Publication Physics of plasmas Abbreviated Journal Phys Plasmas  
  Volume 18 Issue 3 Pages 033504-033504,9  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A fluid model is self-consistently established to investigate the harmonic effects in an inductively coupled plasma, where the electromagnetic field is solved by the finite difference time domain technique. The spatiotemporal distribution of harmonic current density, harmonic potential, and other plasma quantities, such as radio frequency power deposition, plasma density, and electron temperature, have been investigated. Distinct differences in current density have been observed when calculated with and without Lorentz force, which indicates that the nonlinear Lorentz force plays an important role in the harmonic effects, especially at low frequencies. Moreover, the even harmonics are larger than the odd harmonics both in the current density and the potential. Finally, the dependence of various plasma quantities with and without the Lorentz force on various driving frequencies is also examined. It is shown that the deposited power density decreases and the depth of penetration increases slightly because of the Lorentz force. The electron density increases distinctly while the electron temperature remains almost the same when the Lorentz force is taken into account.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Woodbury, N.Y. Editor  
  Language Wos 000289151900073 Publication Date 2011-03-18  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1070-664X; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.115 Times cited 7 Open Access  
  Notes Approved Most recent IF: 2.115; 2011 IF: 2.147  
  Call Number (up) UA @ lucian @ c:irua:87876 Serial 1233  
Permanent link to this record
 

 
Author Bogaerts, A.; Aghaei, M.; Autrique, D.; Lindner, H.; Chen, Z.; Wendelen, W. doi  isbn
openurl 
  Title Computer simulations of laser ablation, plume expansion and plasma formation Type H1 Book chapter
  Year 2011 Publication Abbreviated Journal  
  Volume Issue Pages 1-10  
  Keywords H1 Book chapter; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Trans Tech Place of Publication Aedermannsdorf Editor  
  Language Wos 000292658900001 Publication Date 2011-04-20  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1662-8985; ISBN 978-3-03785-081-7 Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor Times cited 8 Open Access  
  Notes Approved Most recent IF: NA  
  Call Number (up) UA @ lucian @ c:irua:88340 Serial 470  
Permanent link to this record
 

 
Author Bogaerts, A.; Eckert, M.; Mao, M.; Neyts, E. doi  openurl
  Title Computer modelling of the plasma chemistry and plasma-based growth mechanisms for nanostructured materials Type A1 Journal article
  Year 2011 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 44 Issue 17 Pages 174030-174030,16  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this review paper, an overview is given of different modelling efforts for plasmas used for the formation and growth of nanostructured materials. This includes both the plasma chemistry, providing information on the precursors for nanostructure formation, as well as the growth processes itself. We limit ourselves to carbon (and silicon) nanostructures. Examples of the plasma modelling comprise nanoparticle formation in silane and hydrocarbon plasmas, as well as the plasma chemistry giving rise to carbon nanostructure formation, such as (ultra)nanocrystalline diamond ((U)NCD) and carbon nanotubes (CNTs). The second part of the paper deals with the simulation of the (plasma-based) growth mechanisms of the same carbon nanostructures, i.e. (U)NCD and CNTs, both by mechanistic modelling and detailed atomistic simulations.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000289512700030 Publication Date 2011-04-15  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 25 Open Access  
  Notes Approved Most recent IF: 2.588; 2011 IF: 2.544  
  Call Number (up) UA @ lucian @ c:irua:88364 Serial 463  
Permanent link to this record
 

 
Author Mao, M.; Bogaerts, A. doi  openurl
  Title Investigating the plasma chemistry for the synthesis of carbon nanotubes/nanofibres in an inductively coupled plasma-enhanced CVD system : the effect of processing parameters Type A1 Journal article
  Year 2010 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 43 Issue 31 Pages 315203-315203,15  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A parameter study is carried out for an inductively coupled plasma used for the synthesis of carbon nanotubes or carbon nanofibres (CNTs/CNFs), by means of the Hybrid Plasma Equipment Model. The influence of processing parameters including gas ratio for four different gas mixtures typically used for CNT/CNF growth (i.e. CH4/H2, CH4/NH3, C2H2/H2 and C2H2/NH3), inductively coupled plasma (ICP) power (501000 W), operating pressure (10 mTorr1 Torr), bias power (01000 W) and temperature of the substrate (01000 °C) on the plasma chemistry is investigated and the optimized conditions for CNT/CNF growth are analysed. Summarized, our calculations suggest that a lower fraction of hydrocarbon gases (CH4 or C2H2, i.e. below 20%) and hence a higher fraction of etchant gases (H2 or NH3) in the gas mixture result in more 'clean' conditions for controlled CNT/CNF growth. The same applies to a higher ICP power, a moderate ICP gas pressure above 100 mTorr (at least for single-walled carbon nanotubes), a high bias power (for aligned CNTs) and an intermediate substrate temperature.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000280275200007 Publication Date 2010-07-17  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 17 Open Access  
  Notes Approved Most recent IF: 2.588; 2010 IF: 2.109  
  Call Number (up) UA @ lucian @ c:irua:88365 Serial 1724  
Permanent link to this record
 

 
Author Madani, M.; Bogaerts, A.; Vangeneugden, D. openurl 
  Title Numerical modelling for a dielectric barrier discharge at atmospheric pressure in nitrogen Type P1 Proceeding
  Year 2005 Publication Abbreviated Journal  
  Volume Issue Pages 53-56  
  Keywords P1 Proceeding; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper we used a one dimensional fluid model, for the simulations of a Dielectric Barrier Discharge at atmospheric pressure. From the current and voltage profiles and the density profiles, we notice that two different regimes can be obtained in a uniform DBD. Furthermore a two dimensional flud model was developed and we describe how the gasflow can be included in such a model.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication S.l. Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 978-90-808669-2-8 ISBN Additional Links UA library record; WoS full record;  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number (up) UA @ lucian @ c:irua:88728 Serial 2399  
Permanent link to this record
 

 
Author Wendelen, W.; Autrique, D.; Bogaerts, A. url  doi
openurl 
  Title Space charge limited electron emission from a Cu surface under ultrashort pulsed laser irradiation Type A1 Journal article
  Year 2010 Publication AIP conference proceedings Abbreviated Journal  
  Volume 1278 Issue Pages 407-415  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this theoretical study, the electron emission from a copper surface under ultrashort pulsed laser irradiation is investigated using a one dimensional particle in cell model. Thermionic emission as well as multi-photon photoelectron emission were taken into account. The emitted electrons create a negative space charge above the target, consequently the generated electric field reduces the electron emission by several orders of magnitude. The simulations indicate that the space charge effect should be considered when investigating electron emission related phenomena in materials under ultrashort pulsed laser irradiation of metals.the word abstract, but do replace the rest of this text. ©2010 American Institute of Physics  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication New York Editor  
  Language Wos 000287183900042 Publication Date 2010-10-19  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN ISBN Additional Links UA library record; WoS full record  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number (up) UA @ lucian @ c:irua:88899 Serial 3058  
Permanent link to this record
 

 
Author Jehanathan, N.; Georgieva, V.; Saraiva, M.; Depla, D.; Bogaerts, A.; Van Tendeloo, G. pdf  doi
openurl 
  Title The influence of Cr and Y on the micro structural evolution of Mg―Cr―O and Mg―Y―O thin films Type A1 Journal article
  Year 2011 Publication Thin solid films : an international journal on the science and technology of thin and thick films Abbreviated Journal Thin Solid Films  
  Volume 519 Issue 16 Pages 5388-5396  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT); Electron microscopy for materials research (EMAT)  
  Abstract The compositional influence of Cr and Y on the microstructure of Mg―Cr―O, and Mg―Y―O films synthesized by reactive magnetron sputtering has been investigated by transmission electron microscopy, X-ray diffraction and molecular dynamics simulations. A decrease in crystallinity is observed in these films as the M (Cr or Y) content is increased. It is found that M forms a solid solution with MgO for metal ratios up to ~ 70% and ~ 50% for Cr and Y respectively. Above ~ 70% Cr metal ratio the Mg―Cr―O films are found to be completely amorphous. The Mg―Y―O films are composed of Mg(Y)O and Y2O3 nano crystallites, up to ~ 50% Y metal ratio. Above this ratio, only Y2O3 nano crystallites are found. The preferential < 111> MgO grain alignment is strongly affected by the increase in M content. For M metal ratios up to ~ 50%, there is a selective promotion of the < 100> MgO grain alignments and a decline in the < 111> grain alignments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Lausanne Editor  
  Language Wos 000292573500013 Publication Date 2011-02-26  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0040-6090; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 1.879 Times cited 4 Open Access  
  Notes Iwt Approved Most recent IF: 1.879; 2011 IF: 1.890  
  Call Number (up) UA @ lucian @ c:irua:89516 Serial 1618  
Permanent link to this record
 

 
Author Georgieva, V.; Voter, A.F.; Bogaerts, A. doi  openurl
  Title Understanding the surface diffusion processes during magnetron sputter-deposition of complex oxide Mg-Al-O thin films Type A1 Journal article
  Year 2011 Publication Crystal growth & design Abbreviated Journal Cryst Growth Des  
  Volume 11 Issue 6 Pages 2553-2558  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract It is known that film structure may change dramatically with the extent of surface diffusion during the film growth process. In the present work, surface diffusion, induced thermally or activated by energetic impacts, is investigated theoretically under conditions appropriate for magnetron sputter-deposition of MgAlO thin films with varying stoichiometry. The distribution of surface diffusion energy barriers available to the system was determined for each stoichiometry, which allowed assessing in a qualitative way how much surface diffusion will take place on the time scale available between deposition events. The activation energy barriers increase with the Al concentration in the film, and therefore, the surface diffusion rates in the time frame of typical deposition rates drop, which can explain the decrease in crystallinity in the film structure and the transition to amorphous structure. The deposition process and the immediate surface diffusion enhanced by the energetic adatoms are simulated by means of a molecular dynamics model. The longer-time thermal surface diffusion and the energy landscape are studied by the temperature accelerated dynamics method, applied in an approximate way. The surface diffusion enhanced by the energetic impacts appears to be very important for the film structure in the low-temperature deposition regime.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos 000291074600068 Publication Date 2011-04-11  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1528-7483;1528-7505; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 4.055 Times cited 14 Open Access  
  Notes Approved Most recent IF: 4.055; 2011 IF: 4.720  
  Call Number (up) UA @ lucian @ c:irua:89566 Serial 3806  
Permanent link to this record
 

 
Author Bultinck, E.; Bogaerts, A. pdf  doi
openurl 
  Title Characterization of an Ar/O2 magnetron plasma by a multi-species Monte Carlo model Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 4 Pages 045013-045013,12  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A combined Monte Carlo (MC)/analytical surface model is developed to study the plasma processes occurring during the reactive sputter deposition of TiOx thin films. This model describes the important plasma species with a MC approach (i.e. electrons, Ar+ ions, {\rm O}_2  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000295829800015 Publication Date 2011-06-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 7 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number (up) UA @ lucian @ c:irua:89732 Serial 316  
Permanent link to this record
 

 
Author Lindner, H.; Bogaerts, A. doi  openurl
  Title Multi-element model for the simulation of inductively coupled plasmas : effects of helium addition to the central gas stream Type A1 Journal article
  Year 2011 Publication Spectrochimica acta: part B : atomic spectroscopy Abbreviated Journal Spectrochim Acta B  
  Volume 66 Issue 6 Pages 421-431  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A model for an atmospheric pressure inductively coupled plasma (ICP) is developed which allows rather easy extension to a variable number of species and ionisation degrees. This encompasses an easy calculation of transport parameters for mixtures, ionisation and heat capacity. The ICP is modeled in an axisymmetric geometry, taking into account the gas streaming into a flowing ambient gas. A mixture of argon and helium is applied in the injector gas stream as it is often done in laser ablation ICP spectrometry. The results show a strong influence of the added helium on the center of the ICP, which is important for chemical analysis. The length of the central channel is significantly increased and the temperature inside is significantly higher than in the case of pure argon. This means that higher gas volume flow rates can be applied by addition of helium compared to the use of pure argon. This has the advantage that the gas velocity in the transport system towards the ICP can be increased, which allows shorter washout-times. Consequently, shorter measurement times can be achieved, e.g. for spatial mapping analyses in laser ablation ICP spectrometry. Furthermore, the higher temperature and the longer effective plasma length will increase the maximum size of droplets or particles injected into the ICP that are completely evaporated at the detection site. Thus, we expect an increase of the analytical performance of the ICP by helium addition to the injector gas.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Oxford Editor  
  Language Wos 000293488700003 Publication Date 2011-04-20  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0584-8547; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.241 Times cited 28 Open Access  
  Notes Approved Most recent IF: 3.241; 2011 IF: 2.876  
  Call Number (up) UA @ lucian @ c:irua:90190 Serial 2209  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A.; Shamiryan, D. doi  openurl
  Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
  Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 8 Issue 6 Pages 490-499  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000292116800003 Publication Date 2011-03-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2011 IF: 2.468  
  Call Number (up) UA @ lucian @ c:irua:90926 Serial 3014  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
  Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 4 Pages 045012-045012,19  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000295829800014 Publication Date 2011-06-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 22 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number (up) UA @ lucian @ c:irua:91045 Serial 2141  
Permanent link to this record
 

 
Author Mao, M.; Wang, Y.N.; Bogaerts, A. pdf  doi
openurl 
  Title Numerical study of the plasma chemistry in inductively coupled SF6 and SF6/AR plasmas used for deep silicon etching applications Type A1 Journal article
  Year 2011 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 44 Issue 43 Pages 435202,1-435202,15  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study inductively coupled SF6 plasmas used for Si etching applications. The plasma properties such as number densities of electrons, positive and negative ions, and neutrals are calculated under typical etching conditions. The electron kinetics is analysed by means of the electron energy probability function. The plasma chemistry taking place in pure SF6 and in an Ar/SF6 mixture is also discussed, and finally the effect of the argon fraction on the plasma properties is investigated.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000296591100004 Publication Date 2011-10-13  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 20 Open Access  
  Notes Approved Most recent IF: 2.588; 2011 IF: 2.544  
  Call Number (up) UA @ lucian @ c:irua:91754 Serial 2409  
Permanent link to this record
 

 
Author Neyts, E.C.; van Duin, A.C.T.; Bogaerts, A. pdf  doi
openurl 
  Title Changing chirality during single-walled carbon nanotube growth : a reactive molecular dynamics/Monte Carlo study Type A1 Journal article
  Year 2011 Publication Journal of the American Chemical Society Abbreviated Journal J Am Chem Soc  
  Volume 133 Issue 43 Pages 17225-17231  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The growth mechanism and chirality formation of a single-walled carbon nanotube (SWNT) on a surface-bound nickel nanocluster are investigated by hybrid reactive molecular dynamics/force-biased Monte Carlo simulations. The validity of the interatomic potential used, the so-called ReaxFF potential, for simulating catalytic SWNT growth is demonstrated. The SWNT growth process was found to be in agreement with previous studies and observed to proceed through a number of distinct steps, viz., the dissolution of carbon in the metallic particle, the surface segregation of carbon with the formation of aggregated carbon clusters on the surface, the formation of graphitic islands that grow into SWNT caps, and finally continued growth of the SWNT. Moreover, it is clearly illustrated in the present study that during the growth process, the carbon network is continuously restructured by a metal-mediated process, thereby healing many topological defects. It is also found that a cap can nucleate and disappear again, which was not observed in previous simulations. Encapsulation of the nanoparticle is observed to be prevented by the carbon network migrating as a whole over the cluster surface. Finally, for the first time, the chirality of the growing SWNT cap is observed to change from (11,0) over (9,3) to (7,7). It is demonstrated that this change in chirality is due to the metal-mediated restructuring process.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Washington, D.C. Editor  
  Language Wos 000297380900026 Publication Date 2011-10-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0002-7863;1520-5126; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 13.858 Times cited 116 Open Access  
  Notes Approved Most recent IF: 13.858; 2011 IF: 9.907  
  Call Number (up) UA @ lucian @ c:irua:92043 Serial 309  
Permanent link to this record
 

 
Author De Bie, C.; Verheyde, B.; Martens, T.; van Dijk, J.; Paulussen, S.; Bogaerts, A. pdf  doi
openurl 
  Title Fluid modeling of the conversion of methane into higher hydrocarbons in an atmospheric pressure dielectric barrier discharge Type A1 Journal article
  Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 8 Issue 11 Pages 1033-1058  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A one-dimensional fluid model for a dielectric barrier discharge in methane, used as a chemical reactor for gas conversion, is developed. The model describes the gas phase chemistry governing the conversion process of methane to higher hydrocarbons. The spatially averaged densities of the various plasma species as a function of time are discussed. Besides, the conversion of methane and the yields of the reaction products as a function of the residence time in the reactor are shown and compared with experimental data. Higher hydrocarbons (C2Hy and C3Hy) and hydrogen gas are typically found to be important reaction products. Furthermore, the main underlying reaction pathways are determined.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000297745500005 Publication Date 2011-07-11  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 70 Open Access  
  Notes Approved Most recent IF: 2.846; 2011 IF: 2.468  
  Call Number (up) UA @ lucian @ c:irua:92443 Serial 1227  
Permanent link to this record
 

 
Author Zhang, Y.-R.; Xu, X.; Bogaerts, A.; Wang, Y.-N. pdf  doi
openurl 
  Title Fluid simulation of the phase-shift effect in hydrogen capacitively coupled plasmas: 1 : transient behaviour of electrodynamics and power deposition Type A1 Journal article
  Year 2012 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 45 Issue 1 Pages 015202-015202,11  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A two-dimensional self-consistent fluid model coupled with the full set of Maxwell equations is established to investigate the phase-shift effect on the transient behaviour of electrodynamics and power deposition in a hydrogen capacitively coupled plasma. The effect has been examined at 13.56 MHz and 100 MHz, respectively, because of the different phase-shift modulation when the electromagnetic effects are dominant. The results indicate that the spatiotemporal distributions of the plasma characteristics obtained for various phase-shift cases are obviously different both in shape and especially in absolute values. Indeed, when the phase difference varies from 0 to π, there is an increase in the electron flux, thus the power deposition becomes more pronounced. At the frequency of 13.56 MHz, the axial electron flux in the bulk plasma becomes uniform along the z-axis, and the radial electron flux exhibits two peaks within one period at the reverse-phase case, whereas the oscillation is less pronounced at the in-phase case. Furthermore, in the very high frequency discharge, the radial electron flux is alternately positive and negative with four peaks during one period, and the ionization mainly occurs in the sheath region, due to the prominent power deposition there at a phase difference equal to π.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000298290000011 Publication Date 2011-12-12  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 57 Open Access  
  Notes Approved Most recent IF: 2.588; 2012 IF: 2.528  
  Call Number (up) UA @ lucian @ c:irua:92851 Serial 1230  
Permanent link to this record
 

 
Author Zhang, Y.-R.; Xu, X.; Bogaerts, A.; Wang, Y.-N. pdf  doi
openurl 
  Title Fluid simulation of the phase-shift effect in hydrogen capacitively coupled plasmas: 2 : radial uniformity of the plasma characteristics Type A1 Journal article
  Year 2012 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 45 Issue 1 Pages 015203-015203,13  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A two-dimensional fluid model, including the full set of Maxwell equations, has been developed and applied to investigate the effect of a phase shift between two power sources on the radial uniformity of several plasma characteristics in a hydrogen capacitively coupled plasma. This study was carried out at various frequencies in the range 13.56200 MHz. When the frequency is low, at 13.56 MHz, the plasma density is characterized by an off-axis peak when both power sources are in-phase (phgr = 0), and the best radial uniformity is obtained at phgr = π. This trend can be explained because the radial nonuniformity caused by the electrostatic edge effect can be effectively suppressed by the phase-shift effect at a phase difference equal to π. When the frequency rises to 60 MHz, the plasma density profiles shift smoothly from edge-peaked over uniform to centre-peaked as the phase difference increases, due to the pronounced standing-wave effect, and the best radial uniformity is reached at phgr = 0.3π. At a frequency of 100 MHz, a similar behaviour is observed, except that the maximum of the plasma density moves again towards the radial edge at the reverse-phase case (phgr = π), because of the dominant skin effect. When the frequency is 200 MHz, the bulk plasma density increases significantly with increasing phase-shift values, and a better uniformity is obtained at phgr = 0.4π. This is because the density in the centre increases faster than at the radial edge as the phase difference rises, due to the increasing power deposition Pz in the centre and the decreasing power density Pr at the radial edge. As the phase difference increases to π, the maximum near the radial edge becomes obvious again. This is because the skin effect has a predominant influence on the plasma density under this condition, resulting in a high density at the radial edge. Moreover, the axial ion flux increases monotonically with phase difference, and exhibits similar profiles to the plasma density. The calculation results illustrate that the radial uniformity of the various plasma characteristics is strongly dependent on the applied frequency and the phase shift between both power sources, which is important to realize, for controlling the uniformity of the plasma etch and deposition processes.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000298290000012 Publication Date 2011-12-12  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 15 Open Access  
  Notes Approved Most recent IF: 2.588; 2012 IF: 2.528  
  Call Number (up) UA @ lucian @ c:irua:92852 Serial 1231  
Permanent link to this record
 

 
Author Lindner, H.; Murtazin, A.; Groh, S.; Niemax, K.; Bogaerts, A. pdf  doi
openurl 
  Title Simulation and experimental studies on plasma temperature, flow velocity, and injector diameter effects for an inductively coupled plasma Type A1 Journal article
  Year 2011 Publication Analytical chemistry Abbreviated Journal Anal Chem  
  Volume 83 Issue 24 Pages 9260-9266  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract An inductively coupled plasma (ICP) is analyzed by means of experiments and numerical simulation. Important plasma properties are analyzed, namely, the effective temperature inside the central channel and the mean flow velocity inside the plasma. Furthermore, the effect of torches with different injector diameters is studied by the model. The temperature inside the central channel is determined from the end-on collected line-to-background ratio in dependence of the injector gas flow rates. Within the limits of 3% deviation, the results of the simulation and the experiments are in good agreement in the range of flow rates relevant for the analysis of relatively large droplets, i.e., 50 μm. The deviation increases for higher gas flow rates but stays below 6% for all flow rates studied. The velocity of the gas inside the coil region was determined by side-on analyte emission measurements with single monodisperse droplet introduction and by the analysis of the injector gas path lines in the simulation. In the downstream region significantly higher velocities were found than in the upstream region in both the simulation and the experiment. The quantitative values show good agreement in the downstream region. In the upstream region, deviations were found in the absolute values which can be attributed to the flow conditions in that region and because the methods used for velocity determination are not fully consistent. Eddy structures are found in the simulated flow lines. These affect strongly the way taken by the path lines of the injector gas and they can explain the very long analytical signals found in the experiments at low flow rates. Simulations were performed for different injector diameters in order to find conditions where good analyte transport and optimum signals can be expected. The results clearly show the existence of a transition flow rate which marks the lower limit for effective analyte transport conditions through the plasma. A rule-of-thumb equation was extracted from the results from which the transition flow rate can be estimated for different injector diameters and different injector gas compositions.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Washington, D.C. Editor  
  Language Wos 000297946900013 Publication Date 2011-07-29  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0003-2700;1520-6882; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 6.32 Times cited 34 Open Access  
  Notes Approved Most recent IF: 6.32; 2011 IF: 5.856  
  Call Number (up) UA @ lucian @ c:irua:94001 Serial 3009  
Permanent link to this record
 

 
Author Jian-Ping, N.; Xiao-Dan, L.; Cheng-Li, Z.; You-Min, Q.; Ping-Ni, H.; Bogaerts, A.; Fu-Jun, G. openurl 
  Title Molecular dynamics simulation of temperature effects on CF(3)(+) etching of Si surface Type A1 Journal article
  Year 2010 Publication Wuli xuebao Abbreviated Journal Acta Phys Sin-Ch Ed  
  Volume 59 Issue 10 Pages 7225-7231  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Molecular dynamics method was employed to investigate the effects of the reaction layer formed near the surface region on CF(3)(+) etching of Si at different temperatures. The simulation results show that the coverages of F and C are sensitive to the surface temperature. With increasing temperature, the physical etching is enhanced, while the chemical etching is weakened. It is found that with increasing surface temperature, the etching rate of Si increases. As to the etching products, the yields of SiF and SiF(2) increase with temperature, whereas the yield of SiF(3) is not sensitive to the surface temperature. And the increase of the etching yield is mainly due to the increased desorption of SiF and SiF(2). The comparison shows that the reactive layer plays an important part in the subsequeat impacting, which enhances the etching rate of Si and weakens the chemical etching intensity.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1000-3290 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 0.624 Times cited Open Access  
  Notes Approved Most recent IF: 0.624; 2010 IF: 1.259  
  Call Number (up) UA @ lucian @ c:irua:95564 Serial 2171  
Permanent link to this record
 

 
Author Petrovic, D.; Martens, T.; van Dijk, J.; Brok, W.J.M.; Bogaerts, A. openurl 
  Title Modeling of a dielectric barrier discharge used as a flowing chemical reactor Type P1 Proceeding
  Year 2008 Publication Abbreviated Journal  
  Volume Issue Pages 262-262  
  Keywords P1 Proceeding; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract  
  Address  
  Corporate Author Thesis  
  Publisher Astronomical Observatory Place of Publication Belgrade Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume 2008 Series Issue 84 Edition  
  ISSN 978-86-80019-27-7; 0373-3742 ISBN Additional Links UA library record; WoS full record;  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number (up) UA @ lucian @ c:irua:95700 Serial 2114  
Permanent link to this record
 

 
Author Elmonov, A.A.; Yusupov, M.S.; Dzhurakhalov, A.A.; Bogaerts, A. openurl 
  Title Sputtering of Si(001) and SiC(001) by grazing ion bombardment Type P1 Proceeding
  Year 2008 Publication Abbreviated Journal  
  Volume Issue Pages 209-213  
  Keywords P1 Proceeding; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The peculiarities of sputtering processes at 0.5-5 keV Ne grazing ion bombardment of Si(001) and SiC(001) surfaces and their possible application for the surface modification have been studied by computer simulation. Sputtering yields in the primary knock-on recoil atoms regime versus the initial energy of incident ions (E(0) = 0.5-5 keV) and angle of incidence (psi = 0-30 degrees) counted from a target surface have been calculated. Comparative studies of layer-by-layer sputtering for Si(001) and SiC(001) surfaces versus the initial energy of incident ions as well as an effective sputtering and sputtering threshold are discussed.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue 84 Edition  
  ISSN 978-86-80019-27-7 ISBN Additional Links UA library record; WoS full record;  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number (up) UA @ lucian @ c:irua:95704 Serial 3112  
Permanent link to this record
 

 
Author Derzsi, A.; Donko, Z.; Bogaerts, A.; Hoffmann, V. openurl 
  Title The influence of the secondary electron emission coefficient and effect of the gas heating on the calculated electrical characteristics of a grimm type glow discharge cell Type P1 Proceeding
  Year 2008 Publication Abbreviated Journal  
  Volume Issue Pages 285-288  
  Keywords P1 Proceeding; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Electron emission properties of cathode surfaces affect considerably the electrical characteristics of glow discharges. Using a heavy-particle hybrid model ill 2 dimensions, we investigate the influence of the secondary electron emission coefficient gamma oil the calculated discharge characteristics for both 'clean' and 'dirty' cathode surface conditions, and assuming a constant gamma parameter as well. The effect of the gas heating and the role of the heavy particles reflected from the cathode on this process is also studied.  
  Address  
  Corporate Author Thesis  
  Publisher Astronomical Observatory Place of Publication Belgrade Editor  
  Language Wos Publication Date 0000-00-00  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume 2008 Series Issue 84 Edition  
  ISSN 978-86-80019-27-7; 0373-3742 ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor Times cited Open Access  
  Notes Approved Most recent IF: NA  
  Call Number (up) UA @ lucian @ c:irua:95705 Serial 1650  
Permanent link to this record
 

 
Author Zhao, S.-X.; Gao, F.; Wang, Y.-N.; Bogaerts, A. pdf  doi
openurl 
  Title The effect of F2 attachment by low-energy electrons on the electron behaviour in an Ar/CF4 inductively coupled plasma Type A1 Journal article
  Year 2012 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 21 Issue 2 Pages 025008-025008,13  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract The electron behaviour in an Ar/CF4 inductively coupled plasma is investigated by a Langmuir probe and a hybrid model. The simulated and measured results include electron density, temperature and electron energy distribution function for different values of Ar/CF4 ratio, coil power and gas pressure. The hybrid plasma equipment model simulations show qualitative agreement with experiment. The effect of F2 electron attachment on the electron behaviour is explored by comparing two sets of data based on different F atom boundary conditions. It is demonstrated that electron attachment at F2 molecules is responsible for the depletion of low-energy electrons, causing a density decrease as well as a temperature increase when CF4 is added to an Ar plasma.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000302779400022 Publication Date 2012-03-12  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 23 Open Access  
  Notes Approved Most recent IF: 3.302; 2012 IF: 2.515  
  Call Number (up) UA @ lucian @ c:irua:96549 Serial 841  
Permanent link to this record
 

 
Author Neyts, E.C.; van Duin, A.C.T.; Bogaerts, A. pdf  doi
openurl 
  Title Insights in the plasma-assisted growth of carbon nanotubes through atomic scale simulations : effect of electric field Type A1 Journal article
  Year 2012 Publication Journal of the American Chemical Society Abbreviated Journal J Am Chem Soc  
  Volume 134 Issue 2 Pages 1256-1260  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Carbon nanotubes (CNTs) are nowadays routinely grown in a thermal CVD setup. State-of-the-art plasma-enhanced CVD (PECVD) growth, however, offers advantages over thermal CVD. A lower growth temperature and the growth of aligned freestanding single-walled CNTs (SWNTs) makes the technique very attractive. The atomic scale growth mechanisms of PECVD CNT growth, however, remain currently entirely unexplored. In this contribution, we employed molecular dynamics simulations to focus on the effect of applying an electric field on the SWNT growth process, as one of the effects coming into play in PECVD. Using sufficiently strong fields results in (a) alignment of the growing SWNTs, (b) a better ordering of the carbon network, and (c) a higher growth rate relative to thermal growth rate. We suggest that these effects are due to the small charge transfer occurring in the Ni/C system. These simulations constitute the first study of PECVD growth of SWNTs on the atomic level.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Washington, D.C. Editor  
  Language Wos 000301084300086 Publication Date 2011-11-30  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0002-7863;1520-5126; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 13.858 Times cited 56 Open Access  
  Notes Approved Most recent IF: 13.858; 2012 IF: 10.677  
  Call Number (up) UA @ lucian @ c:irua:97163 Serial 1673  
Permanent link to this record
 

 
Author Aghaei, M.; Lindner, H.; Bogaerts, A. doi  openurl
  Title Effect of a mass spectrometer interface on inductively coupled plasma characteristics : a computational study Type A1 Journal article
  Year 2012 Publication Journal of analytical atomic spectrometry Abbreviated Journal J Anal Atom Spectrom  
  Volume 27 Issue 4 Pages 604-610  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract An inductively coupled plasma connected to a mass spectrometer interface (sampling cone) is computationally investigated. Typical plasma characteristics, such as gas flow velocity, plasma temperature and electron density, are calculated in two dimensions (cylindrical symmetry) and compared with and without a mass spectrometer sampling interface. The results obtained from our model compare favorably with experimental data reported in the literature. A dramatic increase in the plasma velocity is reported in the region close to the interface. Furthermore, a cooled metal interface lowers the plasma temperature and electron density on the axial channel very close to the sampling cone but the corresponding values in the off axial regions are increased. Therefore, the effect of the interface strongly depends on the measurement position. It is shown that even a small shift from the actual position of the sampler leads to a considerable change of the results.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000301496700005 Publication Date 2012-02-22  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0267-9477;1364-5544; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.379 Times cited 18 Open Access  
  Notes Approved Most recent IF: 3.379; 2012 IF: 3.155  
  Call Number (up) UA @ lucian @ c:irua:97386 Serial 791  
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
  Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym  
  Volume 9 Issue 5 Pages 522-539  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Weinheim Editor  
  Language Wos 000303858100010 Publication Date 2012-03-06  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.846 Times cited 5 Open Access  
  Notes Approved Most recent IF: 2.846; 2012 IF: 3.730  
  Call Number (up) UA @ lucian @ c:irua:99127 Serial 2142  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: