toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
List View
 |   | 
   print
  Author Title Year (down) Publication Volume Times cited Additional Links Links
Filez, M.; Feng, J.-Y.; Minjauw, M.M.; Solano, E.; Poonkottil, N.; Van Daele, M.; Ramachandran, R.K.; Li, C.; Bals, S.; Poelman, H.; Detavernier, C.; Dendooven, J.; Filez, M.; Minjauw, M.; Solano, E.; Poonkottil, N.; Li, C.; Bals, S.; Dendooven, J. Shuffling atomic layer deposition gas sequences to modulate bimetallic thin films and nanoparticle properties 2022 Chemistry of materials 2 UA library record; WoS full record pdf url doi
Ramachandran, R.K.; Filez, M.; Solano, E.; Poelman, H.; Minjauw, M.M.; Van Daele, M.; Feng, J.-Y.; La Porta, A.; Altantzis, T.; Fonda, E.; Coati, A.; Garreau, Y.; Bals, S.; Marin, G.B.; Detavernier, C.; Dendooven, J. Chemical and Structural Configuration of Pt Doped Metal Oxide Thin Films Prepared by Atomic Layer Deposition 2019 Chemistry of materials 31 6 UA library record; WoS full record; WoS citing articles url doi
Cremers, V.; Rampelberg, G.; Baert, K.; Abrahami, S.; Claes, N.; de Oliveira, T.M.; Terryn, H.; Bals, S.; Dendooven, J.; Detavernier, C. Corrosion protection of Cu by atomic layer deposition 2019 Journal of vacuum science and technology: A: vacuum surfaces and films 37 7 UA library record; WoS full record; WoS citing articles pdf url doi
Filez, M.; Poelman, H.; Redekop, E.A.; Galvita, V.V.; Alexopoulos, K.; Meledina, M.; Ramachandran, R.K.; Dendooven, J.; Detavernier, C.; Van Tendeloo, G.; Safonova, O.V.; Nachtegaal, M.; Weckhuysen, B.M.; Marin, G.B. Kinetics of lifetime changes in bimetallic nanocatalysts revealed by quick X-ray absorption spectroscopy 2018 Angewandte Chemie: international edition in English 57 4 UA library record; WoS full record; WoS citing articles url doi
Cremers, V.; Rampelberg, G.; Barhoum, A.; Walters, P.; Claes, N.; Oliveira, T.M. de; Assche, G.V.; Bals, S.; Dendooven, J.; Detavernier, C. Oxidation barrier of Cu and Fe powder by Atomic Layer Deposition 2018 Surface and coatings technology 349 10 UA library record; WoS full record; WoS citing articles pdf url doi
Asapu, R.; Ciocarlan, R.-G.; Claes, N.; Blommaerts, N.; Minjauw, M.; Ahmad, T.; Dendooven, J.; Cool, P.; Bals, S.; Denys, S.; Detavernier, C.; Lenaerts, S.; Verbruggen, S.W. Plasmonic Near-Field Localization of Silver Core–Shell Nanoparticle Assemblies via Wet Chemistry Nanogap Engineering 2017 ACS applied materials and interfaces 9 29 UA library record; WoS full record; WoS citing articles pdf url doi
Dendooven, J.; Ramachandran, R.K.; Solano, E.; Kurttepeli, M.; Geerts, L.; Heremans, G.; Ronge, J.; Minjauw, M.M.; Dobbelaere, T.; Devloo-Casier, K.; Martens, J.A.; Vantomme, A.; Bals, S.; Portale, G.; Coati, A.; Detavernier, C. Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition 2017 Nature communications 8 88 UA library record; WoS full record; WoS citing articles url doi
Minjauw, M.M.; Solano, E.; Sree, S.P.; Asapu, R.; Van Daele, M.; Ramachandran, R.K.; Heremans, G.; Verbruggen, S.W.; Lenaerts, S.; Martens, J.A.; Detavernier, C.; Dendooven, J. Plasma-enhanced atomic layer deposition of silver using Ag(fod)(PEt3) and NH3-plasma 2017 Chemistry of materials 29 9 UA library record; WoS full record; WoS citing articles pdf doi
Pulinthanathu Sree, S.; Dendooven, J.; Geerts, L.; Ramachandran, R.K.; Javon, E.; Ceyssens, F.; Breynaert, E.; Kirschhock, C.E.A.; Puers, R.; Altantzis, T.; Van Tendeloo, G.; Bals, S.; Detavernier, C.; Martens, J.A. 3D porous nanostructured platinum prepared using atomic layer deposition 2017 Journal of materials chemistry A : materials for energy and sustainability 5 9 UA library record; WoS full record; WoS citing articles pdf url doi
Kurttepeli, M.; Deng, S.; Mattelaer, F.; Cott, D.J.; Vereecken, P.; Dendooven, J.; Detavernier, C.; Bals, S. Heterogeneous TiO2/V2O5/Carbon Nanotube Electrodes for Lithium-Ion Batteries 2017 ACS applied materials and interfaces 9 28 UA library record; WoS full record; WoS citing articles url doi
Leus, K.; Dendooven, J.; Tahir, N.; Ramachandran, R.; Meledina, M.; Turner, S.; Van Tendeloo, G.; Goeman, J.; Van der Eycken, J.; Detavernier, C.; Van Der Voort, P. Atomic Layer Deposition of Pt Nanoparticles within the Cages of MIL-101: A Mild and Recyclable Hydrogenation Catalyst 2016 Nanomaterials 6 19 UA library record; WoS full record; WoS citing articles url doi
Meledina, M.; Turner, S.; Filippousi, M.; Leus, K.; Lobato, I.; Ramachandran, R.K.; Dendooven, J.; Detavernier, C.; Van Der Voort, P.; Van Tendeloo, G. Direct Imaging of ALD Deposited Pt Nanoclusters inside the Giant Pores of MIL-101 2016 Particle and particle systems characterization 33 11 UA library record; WoS full record; WoS citing articles pdf doi
Dendooven, J.; Devloo-Casier, K.; Ide, M.; Grandfield; Kurttepeli; Ludwig, K.F.; Bals, S.; Van der Voort, P.; Detavernier, C. Atomic layer deposition-based tuning of the pore size in mesoporous thin films studied by in situ grazing incidence small angle X-ray scattering 2014 Nanoscale 6 41 UA library record; WoS full record; WoS citing articles pdf url doi
Sree, S.P.; Dendooven, J.; Masschaele, K.; Hamed, H.M.; Deng, S.; Bals, S.; Detavernier, C.; Martens, J.A. Synthesis of uniformly dispersed anatase nanoparticles inside mesoporous silica thin films via controlled breakup and crystallization of amorphous TiO2 deposited using atomic layer deposition 2013 Nanoscale 5 22 UA library record; WoS full record; WoS citing articles pdf doi
Dendooven, J.; Goris, B.; Devloo-Casier, K.; Levrau, E.; Biermans, E.; Baklanov, M.R.; Ludwig, K.F.; van der Voort, P.; Bals, S.; Detavernier, C. Tuning the pore size of ink-bottle mesopores by atomic layer deposition 2012 Chemistry of materials 24 52 UA library record; WoS full record; WoS citing articles pdf doi
Select All    Deselect All
List View
 |   | 
   print

Save Citations:
Export Records: