toggle visibility
Search within Results:
Display Options:

Select All    Deselect All
 |   | 
Details
   print
  Records Links
Author Tinck, S.; Boullart, W.; Bogaerts, A. pdf  doi
openurl 
  Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
  Year (down) 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T  
  Volume 20 Issue 4 Pages 045012-045012,19  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.  
  Address  
  Corporate Author Thesis  
  Publisher Institute of Physics Place of Publication Bristol Editor  
  Language Wos 000295829800014 Publication Date 2011-06-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 3.302 Times cited 22 Open Access  
  Notes Approved Most recent IF: 3.302; 2011 IF: 2.521  
  Call Number UA @ lucian @ c:irua:91045 Serial 2141  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Investigation of etching and deposition processes of Cl2/O2/Ar inductively coupled plasmas on silicon by means of plasmasurface simulations and experiments Type A1 Journal article
  Year (down) 2009 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 42 Issue Pages 095204,1-095204,13  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract In this paper, a simulation method is described to predict the etching behaviour of Cl2/O2/Ar inductively coupled plasmas on a Si substrate, as used in shallow trench isolation for the production of electronic devices. The hybrid plasma equipment model (HPEM) developed by Kushner et al is applied to calculate the plasma characteristics in the reactor chamber and two additional Monte Carlo simulations are performed to predict the fluxes, angles and energy of the plasma species bombarding the Si substrate, as well as the resulting surface processes such as etching and deposition. The simulations are performed for a wide variety of operating conditions such as gas composition, chamber pressure, power deposition and substrate bias. It is predicted by the simulations that when the fraction of oxygen in the gas mixture is too high, the oxidation of the Si substrate is superior to the etching of Si by chlorine species, resulting in an etch rate close to zero as is also observed in the experiments.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000265531000030 Publication Date 2009-04-16  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 23 Open Access  
  Notes Approved Most recent IF: 2.588; 2009 IF: 2.083  
  Call Number UA @ lucian @ c:irua:75601 Serial 1731  
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A. doi  openurl
  Title Simulation of an Ar/Cl2 inductively coupled plasma: study of the effect of bias, power and pressure and comparison with experiments Type A1 Journal article
  Year (down) 2008 Publication Journal of physics: D: applied physics Abbreviated Journal J Phys D Appl Phys  
  Volume 41 Issue 6 Pages 065207,1-14  
  Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)  
  Abstract A hybrid model, called the hybrid plasma equipment model, was used to study Ar/Cl(2) inductively coupled plasmas used for the etching of Si. The effects of substrate bias, source power and gas pressure on the plasma characteristics and on the fluxes and energies of plasma species bombarding the substrate were observed. A comparison with experimentally measured etch rates was made to investigate how the etch process is influenced and which plasma species mainly account for the etch process. First, the general plasma characteristics are investigated at the following operating conditions: 10% Ar 90% Cl(2) gas mixture, 5mTorr total gas pressure, 100 sccm gas flow rate, 250W source power, -200V dc bias at the substrate electrode and an operating frequency of 13.56MHz applied to the coil and to the substrate electrode. Subsequently, the pressure is varied from 5 to 80mTorr, the substrate bias from -100 to -300V and the source power from 250 to 1000W. Increasing the total gas pressure results in a decrease of the etch rate and a less anisotropic flux to the substrate due to more collisions of the ions in the sheath. Increasing the substrate bias has an effect on the energy of the ions bombarding the substrate and to a lesser extent on the magnitude of the ion flux. When source power is increased, it was found that, not the energy, but the magnitude of the ion flux is increased. The etch rate was more influenced by a variation of the substrate bias than by a variation of the source power, at these operating conditions. These results suggest that the etch process is mainly affected by the energy of the ions bombarding the substrate and the magnitude of the ion flux, and to a lesser extent by the magnitude of the radical flux.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication London Editor  
  Language Wos 000254153900022 Publication Date 2008-02-27  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 0022-3727;1361-6463; ISBN Additional Links UA library record; WoS full record; WoS citing articles  
  Impact Factor 2.588 Times cited 31 Open Access  
  Notes Approved Most recent IF: 2.588; 2008 IF: 2.104  
  Call Number UA @ lucian @ c:irua:67019 Serial 3010  
Permanent link to this record
 

 
Author Hens, S.; van Landuyt, J.; Bender, H.; Boullart, W.; Vanhaelemeersch, S. pdf  doi
openurl 
  Title Chemical and structural analysis of etching residue layers in semiconductor devices with energy filtering transmission electron microscopy Type A1 Journal article
  Year (down) 2001 Publication Materials science in semiconductor processing Abbreviated Journal Mat Sci Semicon Proc  
  Volume 4 Issue 1/3 Pages 109-111  
  Keywords A1 Journal article; Engineering sciences. Technology; Electron microscopy for materials research (EMAT)  
  Abstract The use of an energy-filtering held emission gun transmission electron microscope (CM30 FEG Ultratwin) allows, apart from imaging morphologies down to nanometer scale, the fast acquisition of high-resolution element distributions. Electrons that have lost energy corresponding to characteristic inner-shell loss edges are used to form the element maps. The production of Ultra Large-Scale Integration (ULSI) devices with dimensions below 0.25 mum requires among others the formation of a multilayer metallization scheme by means of repeatedly applying the deposition and etching of dielectrics and metals. In this work the evolution of the surface chemical species on etched Al lines in a post-etch cleaning process has been investigated by energy filtering transmission electron microscopy, with the aim to understand the role of each process step on the removal of the etching residues. (C) 2001 Elsevier Science Ltd. All rights reserved.  
  Address  
  Corporate Author Thesis  
  Publisher Place of Publication Oxford Editor  
  Language Wos 000167727200026 Publication Date 2002-10-14  
  Series Editor Series Title Abbreviated Series Title  
  Series Volume Series Issue Edition  
  ISSN 1369-8001; ISBN Additional Links UA library record; WoS full record  
  Impact Factor 2.359 Times cited Open Access  
  Notes Approved Most recent IF: 2.359; 2001 IF: 0.419  
  Call Number UA @ lucian @ c:irua:94967 Serial 343  
Permanent link to this record
Select All    Deselect All
 |   | 
Details
   print

Save Citations:
Export Records: