|   | 
Details
   web
Records
Author Bogaerts, A.; Bultinck, E.; Eckert, M.; Georgieva, V.; Mao, M.; Neyts, E.; Schwaederlé, L.
Title Computer modeling of plasmas and plasma-surface interactions Type A1 Journal article
Year 2009 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 6 Issue 5 Pages 295-307
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, an overview is given of different modeling approaches used for describing gas discharge plasmas, as well as plasma-surface interactions. A fluid model is illustrated for describing the detailed plasma chemistry in capacitively coupled rf discharges. The strengths and limitations of Monte Carlo simulations and of a particle-in-cell-Monte Carlo collisions model are explained for a magnetron discharge, whereas the capabilities of a hybrid Monte Carlo-fluid approach are illustrated for a direct current glow discharge used for spectrochemical analysis of materials. Finally, some examples of molecular dynamics simulations, for the purpose of plasma-deposition, are given.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000266471800003 Publication Date 2009-04-20
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850;1612-8869; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 18 Open Access
Notes Approved Most recent IF: 2.846; 2009 IF: 4.037
Call Number UA @ lucian @ c:irua:76833 Serial 461
Permanent link to this record
 

 
Author Neyts, E.; Eckert, M.; Mao, M.; Bogaerts, A.
Title Numerical simulation of hydrocarbon plasmas for nanoparticle formation and the growth of nanostructured thin films Type A1 Journal article
Year 2009 Publication Plasma physics and controlled fusion Abbreviated Journal Plasma Phys Contr F
Volume 51 Issue Pages 124034,1-124034,8
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract This paper outlines two different numerical simulation approaches, carried out by our group, used for describing hydrocarbon plasmas in their applications for either nanoparticle formation in the plasma or the growth of nanostructured thin films, such as nanocrystalline diamond (NCD). A plasma model based on the fluid approach is utilized to study the initial mechanisms giving rise to nanoparticle formation in an acetylene plasma. The growth of NCD is investigated by molecular dynamics simulations, describing the interaction of the hydrocarbon species with a substrate.
Address
Corporate Author Thesis
Publisher Place of Publication Oxford Editor
Language Wos (up) 000271940800045 Publication Date 2009-11-12
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0741-3335;1361-6587; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.392 Times cited 2 Open Access
Notes Approved Most recent IF: 2.392; 2009 IF: 2.409
Call Number UA @ lucian @ c:irua:79132 Serial 2405
Permanent link to this record
 

 
Author Saraiva, M.; Chen, H.; Leroy, W.P.; Mahieu, S.; Jehanathan, N.; Lebedev, O.; Georgieva, V.; Persoons, R.; Depla, D.
Title Influence of Al content on the properties of MgO grown by reactive magnetron sputtering Type A1 Journal article
Year 2009 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 6 Issue S:1 Pages S751-S754
Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In the present work, reactive magnetron sputtering in DC mode was used to grow complex oxide thin films, starting from two separate pure metal targets. A series of coatings was produced with a stoichiometry of the film ranging from MgO, over MgxAlyOz to Al2O3. The surface energy, crystallinity, hardness, refractive index, and surface roughness were investigated. A relationship between all properties studied and the Mg content of the samples was found. A critical compositional region for the Mg-Al-O system where all properties exhibit a change was noticed.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000272302900144 Publication Date 2009-09-08
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 13 Open Access
Notes Iwt Approved Most recent IF: 2.846; 2009 IF: 4.037
Call Number UA @ lucian @ c:irua:79363 Serial 1613
Permanent link to this record
 

 
Author Bultinck, E.; Mahieu, S.; Depla, D.; Bogaerts, A.
Title Particle-in-cell/Monte Carlo collisions model for the reactive sputter deposition of nitride layers Type A1 Journal article
Year 2009 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 6 Issue S:1 Pages S784-S788
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A 2d3v Particle-in-cell/Monte Carlo collisions (PIC/MCC) model was constructed for an Ar/N2 reactive gas mixture in a magnetron discharge. A titanium target was used, in order to study the sputter deposition of a TiNx thin film. Cathode currents and voltages were calculated self-consistently and compared with experiments. Also, ion fluxes to the cathode were calculated, which cause sputtering of the target. The sputtered atom fluxes from the target, and to the substrate were calculated, in order to visualize the deposition of the TiNx film.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000272302900149 Publication Date 2009-09-16
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 2 Open Access
Notes Approved Most recent IF: 2.846; 2009 IF: 4.037
Call Number UA @ lucian @ c:irua:79364 Serial 2558
Permanent link to this record
 

 
Author Tkachenko, D.V.; Misko, V.R.; Peeters, F.M.
Title Dynamics of colloids in a narrow channel driven by a nonuniform force Type A1 Journal article
Year 2009 Publication Physical review : E : statistical physics, plasmas, fluids, and related interdisciplinary topics Abbreviated Journal Phys Rev E
Volume 80 Issue 5 Pages 051401,1-051401,10
Keywords A1 Journal article; Condensed Matter Theory (CMT)
Abstract Using Brownian dynamics simulations, we investigate the dynamics of colloids confined in two-dimensional narrow channels driven by a nonuniform force Fdr(y). We considered linear-gradient, parabolic, and deltalike driving-force profiles. This driving force induces melting of the colloidal solid (i.e., shear-induced melting), and the colloidal motion experiences a transition from elastic to plastic regime with increasing Fdr. For intermediate Fdr (i.e., in the transition region) the response of the system, i.e., the distribution of the velocities of the colloidal chains õi(y), in general does not coincide with the profile of the driving force Fdr(y), and depends on the magnitude of Fdr, the width of the channel, and the density of colloids. For example, we show that the onset of plasticity is first observed near the boundaries while the motion in the central region is elastic. This is explained by: (i) (in)commensurability between the chains due to the larger density of colloids near the boundaries, and (ii) the gradient in Fdr. Our study provides a deeper understanding of the dynamics of colloids in channels and could be accessed in experiments on colloids (or in dusty plasma) with, e.g., asymmetric channels or in the presence of a gradient potential field.
Address
Corporate Author Thesis
Publisher Place of Publication Lancaster, Pa Editor
Language Wos (up) 000272309500045 Publication Date 2009-11-10
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1539-3755;1550-2376; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.366 Times cited 14 Open Access
Notes Approved Most recent IF: 2.366; 2009 IF: 2.400
Call Number UA @ lucian @ c:irua:80313 Serial 774
Permanent link to this record
 

 
Author Paulussen, S.; Verheyde, B.; Tu, X.; De Bie, C.; Martens, T.; Petrovic, D.; Bogaerts, A.; Sels, B.
Title Conversion of carbon dioxide to value-added chemicals in atmospheric pressure dielectric barrier discharges Type A1 Journal article
Year 2010 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 19 Issue 3 Pages 034015,1-034015,6
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The aim of this work consists of the evaluation of atmospheric pressure dielectric barrier discharges for the conversion of greenhouse gases into useful compounds. Therefore, pure CO2 feed flows are administered to the discharge zone at varying discharge frequency, power input, gas temperature and feed flow rates, aiming at the formation of CO and O2. The discharge obtained in CO2 is characterized as a filamentary mode with a microdischarge zone in each half cycle of the applied voltage. It is shown that the most important parameter affecting the CO2-conversion levels is the gas flow rate. At low flow rates, both the conversion and the CO-yield are significantly higher. In addition, also an increase in the gas temperature and the power input give rise to higher conversion levels, although the effect on the CO-yield is limited. The optimum discharge frequency depends on the power input level and it cannot be unambiguously stated that higher frequencies give rise to increased conversion levels. A maximum CO2 conversion of 30% is achieved at a flow rate of 0.05 L min−1, a power density of 14.75 W cm−3 and a frequency of 60 kHz. The most energy efficient conversions are achieved at a flow rate of 0.2 L min−1, a power density of 11 W cm−3 and a discharge frequency of 30 kHz.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000277982800016 Publication Date 2010-05-22
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 116 Open Access
Notes Approved Most recent IF: 3.302; 2010 IF: 2.218
Call Number UA @ lucian @ c:irua:82408 Serial 512
Permanent link to this record
 

 
Author Tkachenko, D.V.; Misko, V.R.; Peeters, F.M.
Title Effect of correlated noise on quasi-one-dimensional diffusion Type A1 Journal article
Year 2010 Publication Physical review : E : statistical physics, plasmas, fluids, and related interdisciplinary topics Abbreviated Journal Phys Rev E
Volume 82 Issue 5 Pages 051102-051102,5
Keywords A1 Journal article; Condensed Matter Theory (CMT)
Abstract Single-file diffusion (SFD) of an infinite one-dimensional chain of interacting particles has a long-time mean-square displacement ∝t1/2, independent of the type of interparticle repulsive interaction. This behavior is also observed in finite-size chains, although only for certain intervals of time t depending on the chain length L, followed by the ∝t for t→∞, as we demonstrate for a closed circular chain of diffusing interacting particles. Here, we show that spatial correlation of noise slows down SFD and can result, depending on the amount of correlated noise, in either subdiffusive behavior ∝tα, where 0<α<1/2, or even in a total suppression of diffusion (in the limit N→∞). Spatial correlation can explain the subdiffusive behavior in recent SFD experiments in circular channels.
Address
Corporate Author Thesis
Publisher Place of Publication Lancaster, Pa Editor
Language Wos (up) 000283710100001 Publication Date 2010-11-02
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1539-3755;1550-2376; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.366 Times cited 11 Open Access
Notes ; We acknowledge discussions with M. Saint-Jean. This work was supported by the “Odysseus” program of the Flemish Government and the Flemish Science Foundation (FWO-VI). ; Approved Most recent IF: 2.366; 2010 IF: 2.352
Call Number UA @ lucian @ c:irua:85799 Serial 806
Permanent link to this record
 

 
Author Zhang, Y.-R.; Xu, X.; Zhao, S.-X.; Bogaerts, A.; Wang, Y.-N.
Title Comparison of electrostatic and electromagnetic simulations for very high frequency plasmas Type A1 Journal article
Year 2010 Publication Physics of plasmas Abbreviated Journal Phys Plasmas
Volume 17 Issue 11 Pages 113512-113512,11
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A two-dimensional self-consistent fluid model combined with the full set of Maxwell equations is developed to investigate an argon capacitively coupled plasma, focusing on the electromagnetic effects on the discharge characteristics at various discharge conditions. The results indicate that there exist distinct differences in plasma characteristics calculated with the so-called electrostatic model (i.e., without taking into account the electromagnetic effects) and the electromagnetic model (which includes the electromagnetic effects), especially at very high frequencies. Indeed, when the excitation source is in the high frequency regime and the electromagnetic effects are taken into account, the plasma density increases significantly and meanwhile the ionization rate evolves to a very different distribution when the electromagnetic effects are dominant. Furthermore, the dependence of the plasma characteristics on the voltage and pressure is also investigated, at constant frequency. It is observed that when the voltage is low, the difference between these two models becomes more obvious than at higher voltages. As the pressure increases, the plasma density profiles obtained from the electromagnetic model smoothly shift from edge-peaked over uniform to a broad maximum in the center. In addition, the edge effect becomes less pronounced with increasing frequency and pressure, and the skin effect rather than the standing-wave effect becomes dominant when the voltage is high.
Address
Corporate Author Thesis
Publisher Place of Publication Woodbury, N.Y. Editor
Language Wos (up) 000285486500105 Publication Date 2010-11-22
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1070-664X; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.115 Times cited 30 Open Access
Notes Approved Most recent IF: 2.115; 2010 IF: 2.320
Call Number UA @ lucian @ c:irua:84763 Serial 429
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A.
Title Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 1 Pages 015008-015008,10
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, an O2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al2O3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O2, while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000286592200009 Publication Date 2011-01-08
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 11 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:85285 Serial 467
Permanent link to this record
 

 
Author Si, X.-J.; Zhao, S.-X.; Xu, X.; Bogaerts, A.; Wang, Y.-N.
Title Fluid simulations of frequency effects on nonlinear harmonics in inductively coupled plasma Type A1 Journal article
Year 2011 Publication Physics of plasmas Abbreviated Journal Phys Plasmas
Volume 18 Issue 3 Pages 033504-033504,9
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A fluid model is self-consistently established to investigate the harmonic effects in an inductively coupled plasma, where the electromagnetic field is solved by the finite difference time domain technique. The spatiotemporal distribution of harmonic current density, harmonic potential, and other plasma quantities, such as radio frequency power deposition, plasma density, and electron temperature, have been investigated. Distinct differences in current density have been observed when calculated with and without Lorentz force, which indicates that the nonlinear Lorentz force plays an important role in the harmonic effects, especially at low frequencies. Moreover, the even harmonics are larger than the odd harmonics both in the current density and the potential. Finally, the dependence of various plasma quantities with and without the Lorentz force on various driving frequencies is also examined. It is shown that the deposited power density decreases and the depth of penetration increases slightly because of the Lorentz force. The electron density increases distinctly while the electron temperature remains almost the same when the Lorentz force is taken into account.
Address
Corporate Author Thesis
Publisher Place of Publication Woodbury, N.Y. Editor
Language Wos (up) 000289151900073 Publication Date 2011-03-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1070-664X; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.115 Times cited 7 Open Access
Notes Approved Most recent IF: 2.115; 2011 IF: 2.147
Call Number UA @ lucian @ c:irua:87876 Serial 1233
Permanent link to this record
 

 
Author Apolinario, S.W.S.; Peeters, F.M.
Title Binary dusty plasma Coulomb balls Type A1 Journal article
Year 2011 Publication Physical review : E : statistical physics, plasmas, fluids, and related interdisciplinary topics Abbreviated Journal Phys Rev E
Volume 83 Issue 4 Pages 041136,1-041136,8
Keywords A1 Journal article; Condensed Matter Theory (CMT)
Abstract We investigated the mixing and segregation of a system consisting of two different species of particles, having different charges, interacting through a pure Coulomb potential, and confined in a three-dimensional parabolic trap. The structure of the cluster and its normal mode spectrum are analyzed as a function of the relative charge and the relative number of different types of particles. We found that (a) the system can be in a mixed or segregated state depending on the relative charge ratio parameter and (b) the segregation process is mediated by a first or second order structural phase transition which strongly influences the magic cluster properties of the system.
Address
Corporate Author Thesis
Publisher Place of Publication Lancaster, Pa Editor
Language Wos (up) 000290154900004 Publication Date 2011-04-29
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1539-3755;1550-2376; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.366 Times cited 10 Open Access
Notes ; This work was supported by FACEPE (Fundacao de Amparo a Ciencia e Tecnologia do Estado de Pernambuco) and the Flemish Science Foundation (FWO-Vl). ; Approved Most recent IF: 2.366; 2011 IF: 2.255
Call Number UA @ lucian @ c:irua:89716 Serial 236
Permanent link to this record
 

 
Author De Bie, C.; Martens, T.; van Dijk, J.; Paulussen, S.; Verheyde, B.; Corthals, S.; Bogaerts, A.
Title Dielectric barrier discharges used for the conversion of greenhouse gases: modeling the plasma chemistry by fluid simulations Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 2 Pages 024008,1-024008,11
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The conversion of methane to value-added chemicals and fuels is considered to be one of the challenges of the 21st century. In this paper we study, by means of fluid modeling, the conversion of methane to higher hydrocarbons or oxygenates by partial oxidation with CO2 or O2 in a dielectric barrier discharge. Sixty-nine different plasma species (electrons, ions, molecules, radicals) are included in the model, as well as a comprehensive set of chemical reactions. The calculation results presented in this paper include the conversion of the reactants and the yields of the reaction products as a function of residence time in the reactor, for different gas mixing ratios. Syngas (i.e. H2 + CO) and higher hydrocarbons (C2Hx) are typically found to be important reaction products.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000290719900009 Publication Date 2011-04-02
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 38 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:87868 Serial 689
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A.; Shamiryan, D.
Title Simultaneous etching and deposition processes during the etching of silicon with a Cl2/O2/Ar inductively coupled plasma Type A1 Journal article
Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 8 Issue 6 Pages 490-499
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this article, surface processes occurring during the etching of Si with a Cl2/O2/Ar plasma are investigated by means of experiments and modeling. Cl2-based plasmas are commonly used to etch silicon, while a small fraction of O2 is added to protect the sidewalls from lateral etching during the shallow trench isolation process. When the oxygen fraction exceeds a critical value, the wafer surface process changes from an etching regime to a deposition regime, drastically reducing the etch rate. This effect is commonly referred to as the etch stop phenomenon. To gain better understanding of this mechanism, the oxygen fraction is varied in the gas mixture and special attention is paid to the effects of oxygen and of the redeposition of non-volatile etched species on the overall etch/deposition process. It is found that, when the O2 flow is increased, the etch process changes from successful etching to the formation of a rough surface, and eventually to the actual growth of an oxide layer which completely blocks the etching of the underlying Si. The size of this etch stop island was found to increase as a function of oxygen flow, while its thickness was dependent on the amount of Si etched. This suggests that the growth of the oxide layer mainly depends on the redeposition of non-volatile etch products. The abrupt change in the etch rate as a function of oxygen fraction was not found back in the oxygen content of the plasma, suggesting the competitive nature between oxidation and chlorination at the wafer. Finally, the wafer and reactor wall compositions were investigated by modeling and it was found that the surface rapidly consisted mainly of SiO2 when the O2 flow was increased above about 15 sccm.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000292116800003 Publication Date 2011-03-29
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 5 Open Access
Notes Approved Most recent IF: 2.846; 2011 IF: 2.468
Call Number UA @ lucian @ c:irua:90926 Serial 3014
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A.
Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 4 Pages 045012-045012,19
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000295829800014 Publication Date 2011-06-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 22 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:91045 Serial 2141
Permanent link to this record
 

 
Author Bultinck, E.; Bogaerts, A.
Title Characterization of an Ar/O2 magnetron plasma by a multi-species Monte Carlo model Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 4 Pages 045013-045013,12
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A combined Monte Carlo (MC)/analytical surface model is developed to study the plasma processes occurring during the reactive sputter deposition of TiOx thin films. This model describes the important plasma species with a MC approach (i.e. electrons, Ar+ ions, {\rm O}_2
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000295829800015 Publication Date 2011-06-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 7 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:89732 Serial 316
Permanent link to this record
 

 
Author Nelissen, K.; Partoens, B.; Peeters, F.M.
Title Influence of an ellipsoid on the angular order in a two-dimensional cluster Type A1 Journal article
Year 2011 Publication Physical review : E : statistical physics, plasmas, fluids, and related interdisciplinary topics Abbreviated Journal Phys Rev E
Volume 84 Issue 3 Pages 031405,1-031405,6
Keywords A1 Journal article; Condensed Matter Theory (CMT)
Abstract The influence of an ellipsoid on the angular order of two-dimensional classical clusters is investigated through Brownian dynamics simulations. We found the following: (1) The presence of an ellipsoid does not influence the start of the angular melting, but reduces the rate at which the inner rings can rotate with respect to each other. (2) Even a small eccentricity of the ellipsoid leads to a stabilization of the angular order of the system. (3) Depending on the position of the ellipsoid in the cluster, a reentrant behavior in the angular order is observed before full radial melting of the cluster sets in. (4) The ellipsoid can lead to a two-step angular melting process: First, the rotation of the inner rings with respect to each other is hindered by the ellipsoid, but on further increasing the kinetic energy of the system, the ellipsoid just starts to behave as a spherical particle with different mobility. The effect of an ellipsoid on the molten system does not depend crucially on the interparticle interaction, but a softer parabolic confinement reduces the angular stabilization.
Address
Corporate Author Thesis
Publisher Place of Publication Lancaster, Pa Editor
Language Wos (up) 000296495000007 Publication Date 2011-09-20
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1539-3755;1550-2376; ISBN Additional Links UA library record; WoS full record
Impact Factor 2.366 Times cited Open Access
Notes ; This work was supported by the Flemish Science Foundation (FWO-Vl) and CNPq. ; Approved Most recent IF: 2.366; 2011 IF: 2.255
Call Number UA @ lucian @ c:irua:93612 Serial 1615
Permanent link to this record
 

 
Author Tkachenko, D.V.; Sheridan, T.E.; Misko, V.R.
Title Dispersion relations for circular single and double dusty plasma chains Type A1 Journal article
Year 2011 Publication Physics of plasmas Abbreviated Journal Phys Plasmas
Volume 18 Issue 10 Pages 103709,1-103709,10
Keywords A1 Journal article; Condensed Matter Theory (CMT)
Abstract We derive dispersion relations for a system of identical particles confined in a two-dimensional annular harmonic well and which interact through a Yukawa potential, e.g., a dusty plasma ring. When the particles are in a single chain (i.e., a one-dimensional ring), we find a longitudinal acoustic mode and a transverse optical mode which show approximate agreement with the dispersion relation for a straight configuration for large radii of the ring. When the radius decreases, the dispersion relations modify: there appears an anticrossing of the modes near the crossing point resulting in a frequency gap between the lower and upper branches of the modified dispersion relations. For the double chain (i.e., a two-dimensional zigzag configuration), the dispersion relation has four branches: longitudinal acoustic and optical and transverse acoustic and optical.
Address
Corporate Author Thesis
Publisher Place of Publication Woodbury, N.Y. Editor
Language Wos (up) 000296529600090 Publication Date 2011-10-21
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1070-664X; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.115 Times cited 7 Open Access
Notes ; We acknowledge fruitful discussions with F.M. Peeters, A. Matulis, and W.P. Ferreira. This work was supported by the “Odysseus” program of the Flemish Government and FWO-Vl. ; Approved Most recent IF: 2.115; 2011 IF: 2.147
Call Number UA @ lucian @ c:irua:94052 Serial 738
Permanent link to this record
 

 
Author De Bie, C.; Verheyde, B.; Martens, T.; van Dijk, J.; Paulussen, S.; Bogaerts, A.
Title Fluid modeling of the conversion of methane into higher hydrocarbons in an atmospheric pressure dielectric barrier discharge Type A1 Journal article
Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 8 Issue 11 Pages 1033-1058
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A one-dimensional fluid model for a dielectric barrier discharge in methane, used as a chemical reactor for gas conversion, is developed. The model describes the gas phase chemistry governing the conversion process of methane to higher hydrocarbons. The spatially averaged densities of the various plasma species as a function of time are discussed. Besides, the conversion of methane and the yields of the reaction products as a function of the residence time in the reactor are shown and compared with experimental data. Higher hydrocarbons (C2Hy and C3Hy) and hydrogen gas are typically found to be important reaction products. Furthermore, the main underlying reaction pathways are determined.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000297745500005 Publication Date 2011-07-11
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 70 Open Access
Notes Approved Most recent IF: 2.846; 2011 IF: 2.468
Call Number UA @ lucian @ c:irua:92443 Serial 1227
Permanent link to this record
 

 
Author Zhao, S.-X.; Gao, F.; Wang, Y.-N.; Bogaerts, A.
Title The effect of F2 attachment by low-energy electrons on the electron behaviour in an Ar/CF4 inductively coupled plasma Type A1 Journal article
Year 2012 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 21 Issue 2 Pages 025008-025008,13
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The electron behaviour in an Ar/CF4 inductively coupled plasma is investigated by a Langmuir probe and a hybrid model. The simulated and measured results include electron density, temperature and electron energy distribution function for different values of Ar/CF4 ratio, coil power and gas pressure. The hybrid plasma equipment model simulations show qualitative agreement with experiment. The effect of F2 electron attachment on the electron behaviour is explored by comparing two sets of data based on different F atom boundary conditions. It is demonstrated that electron attachment at F2 molecules is responsible for the depletion of low-energy electrons, causing a density decrease as well as a temperature increase when CF4 is added to an Ar plasma.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000302779400022 Publication Date 2012-03-12
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 23 Open Access
Notes Approved Most recent IF: 3.302; 2012 IF: 2.515
Call Number UA @ lucian @ c:irua:96549 Serial 841
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A.
Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 5 Pages 522-539
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000303858100010 Publication Date 2012-03-06
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 5 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:99127 Serial 2142
Permanent link to this record
 

 
Author Teodoru, S.; Kusano, Y.; Bogaerts, A.
Title The effect of O2 in a humid O2/N2/NOx gas mixture on NOx and N2O remediation by an atmospheric pressure dielectric barrier discharge Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 7 Pages 652-689
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A numerical model for NxOy remediation in humid air plasma produced with a dielectric barrier discharge at atmospheric pressure is presented. Special emphasis is given to NO2 and N2O reduction with the decrease of O2 content in the feedstock gas. A detailed reaction mechanism including electronic and ionic processes, as well as the contribution of radicals and excited atomic/molecular species is proposed. The temporal evolution of the densities of NO, NO2 and N2O species, and some other by-products, is analyzed, and the major pathways for the NxOy remediation are discussed for one pulse. Subsequently, simulations are presented for a multi-pulses case, where three O2 contents are tested for optimization of the remediation process. It is found that when the gas mixture O2/N2/H2O/NOx has no initial O2 content, the best NOx and N2O remediation is achieved.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000306279500005 Publication Date 2012-03-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 24 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:100920 Serial 842
Permanent link to this record
 

 
Author Aerts, R.; Tu, X.; De Bie, C.; Whitehead, J.C.; Bogaerts, A.
Title An investigation into the dominant reactions for ethylene destruction in non-thermal atmospheric plasmas Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 10 Pages 994-1000
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A crucial step, which is still not well understood in the destruction of volatile organic compounds (VOCs) with low temperature plasmas, is the initiation of the process. Here, we present a kinetic model for the destruction of ethylene in low temperature plasmas that allows us to calculate the relative importance of all plasma species and their related reactions. Modifying the ethylene concentration and/or the SED had a major impact on the relative importance of the radicals (i.e., mainly atomic oxygen) and the metastable nitrogen (i.e., more specifically N2(equation image)) in the destruction process. Our results show that the direct destruction by electron impact reactions for ethylene can be neglected; however, we can certainly not neglect the influence of N2(equation image)).
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000309750300008 Publication Date 2012-07-30
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 46 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:101765 Serial 1727
Permanent link to this record
 

 
Author Zhao, S.-X.; Gao, F.; Wang, Y.-N.; Bogaerts, A.
Title Gas ratio effects on the Si etch rate and profile uniformity in an inductively coupled Ar/CF4 plasma Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 1 Pages 015017-15018
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this work, a hybrid model is used to investigate the effect of different gas ratios on the Si etching and polymer film deposition characteristics in an Ar/CF4 inductively coupled plasma. The influence of the surface processes on the bulk plasma properties is studied, and also the spatial characteristics of important gas phase and etched species. The densities of F and CF2 decrease when the surface module is included in the simulations, due to the species consumption caused by etching and polymer deposition. The influence of the surface processes on the bulk plasma depends on the Ar/CF4 gas ratio. The deposited polymer becomes thicker at high CF4 content because of more abundant CFx radicals. As a result of the competition between the polymer thickness and the F flux, the etch rate first increases and then decreases upon increasing the CF4 content. The electron properties, more specifically the electron density profile, affect the Si etch characteristics substantially by determining the radical density and flux profiles. In fact, the radial profile of the etch rate is more uniform at low CF4 content since the electron density has a smooth distribution. At high CF4 content, the etch rate is less uniform with a minimum halfway along the wafer radius, because the electron density distribution is more localized. Therefore, our calculations predict that it is better to work at relatively high Ar/CF4 gas ratios, in order to obtain high etch rate and good profile uniformity for etch applications. This, in fact, corresponds to the typical experimental etch conditions in Ar/CF4 gas mixtures as found in the literature, where Ar is typically present at a much higher concentration than CF4.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000314966300022 Publication Date 2012-12-20
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 11 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:102583 Serial 1320
Permanent link to this record
 

 
Author Van Laer, K.; Tinck, S.; Samara, V.; de Marneffe, J.F.; Bogaerts, A.
Title Etching of low-k materials for microelectronics applications by means of a N2/H2 plasma : modeling and experimental investigation Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 2 Pages 025011-25019
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, we investigate the etch process of so-called low-k organic material by means of a N2/H2 capacitively coupled plasma, as applied in the micro-electronics industry for the manufacturing of computer chips. In recent years, such an organic material has emerged as a possible alternative for replacing bulk SiO2 as a dielectric material in the back-end-of-line, because of the smaller parasitic capacity between adjacent conducting lines, and thus a faster propagation of the electrical signals throughout the chip. Numerical simulations with a hybrid plasma model, using an extensive plasma and surface chemistry set, as well as experiments are performed, focusing on the plasma properties as well as the actual etching process, to obtain a better insight into the underlying mechanisms. Furthermore, the effects of gas pressure, applied power and gas composition are investigated to try to optimize the etch process. In general, the plasma density reaches a maximum near the wafer edge due to the so-called 'edge effect'. As a result, the etch rate is not uniform but will also reach its maximum near the wafer edge. The pressure seems not to have a big effect. A higher power increases the etch rate, but the uniformity becomes (slightly) worse. The gas mixing ratio has no significant effect on the etch process, except when a pure H2 or N2 plasma is used, illustrating the synergistic effects of a N2/H2 plasma. In fact, our calculations reveal that the N2/H2 plasma entails an ion-enhanced etch process. The simulation results are in reasonable agreement with the experimental values. The microscopic etch profile shows the desired anisotropic shape under all conditions under study.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000317275400013 Publication Date 2013-03-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 13 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:106654 Serial 1084
Permanent link to this record
 

 
Author Liu, Y.-X.; Zhang, Q.-Z.; Liu, L.; Song, Y.-H.; Bogaerts, A.; Wang, Y.-N.
Title Electron bounce resonance heating in dual-frequency capacitively coupled oxygen discharges Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 2 Pages 025012-11
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The electron bounce resonance heating (BRH) in dual-frequency capacitively coupled plasmas operated in oxygen is studied by different experimental methods and a particle-in-cell/Monte Carlo collision (PIC/MCC) simulation, and compared with the electropositive argon discharge. In comparison with argon, the experimental results show that in an oxygen discharge the resonance peaks in positive-ion density and light intensity tend to occur at larger electrode gaps. Moreover, at electrode gaps L > 2.5 cm, the positive-ion (and electron) density and the light emission drop monotonically in the oxygen discharge upon increasing L, whereas they rise (after an initial drop) in the argon case. At resonance gap the electronegativity reaches its maximum due to the BRH. All these experimental observations are explained by PIC/MCC simulations, which show that in the oxygen discharge the bulk electric field becomes quite strong and is out of phase with the sheath field. Therefore, it retards the resonance electrons when traversing the bulk, resulting in a suppressed BRH. Both experiment and simulation results show that this effect becomes more pronounced at lower high-frequency power, when the discharge mode changes from electropositive to electronegative. In a pure oxygen discharge, the BRH is suppressed with increasing pressure and almost diminishes at 12 Pa. Finally, the driving frequency significantly affects the BRH, because it determines the phase relation between bulk electric field and sheath electric field.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000317275400014 Publication Date 2013-03-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 20 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:106534 Serial 911
Permanent link to this record
 

 
Author Zhang, Q.-Z.; Liu, Y.-X.; Jiang, W.; Bogaerts, A.; Wang, Y.-N.
Title Heating mechanism in direct current superposed single-frequency and dual-frequency capacitively coupled plasmas Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 2 Pages 025014-25018
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this work particle-in-cell/Monte Carlo collision simulations are performed to study the heating mechanism and plasma characteristics in direct current (dc) superposed radio-frequency (RF) capacitively coupled plasmas, operated both in single-frequency (SF) and dual-frequency (DF) regimes. An RF (60/2 MHz) source is applied on the bottom electrode to sustain the discharge, and a dc source is fixed on the top electrode. The heating mechanism appears to be very different in dc superposed SF and DF discharges. When only a single source of 60 MHz is applied, the plasma bulk region is reduced by the dc source, thus the ionization rate and hence the electron density decrease with rising dc voltage. However, when a DF source of 60 and 2 MHz is applied, the electron density can increase upon addition of a dc voltage, depending on the gap length and applied dc voltage. This is explained from the spatiotemporal ionization rates in the DF discharge. In fact, a completely different behavior is observed for the ionization rate in the two half-periods of the LF source. In the first LF half-period, the situation resembles the dc superposed SF discharge, and the reduced plasma bulk region due to the negative dc bias results in a very small effective discharge area and a low ionization rate. On the other hand, in the second half-period, the negative dc bias is to some extent counteracted by the LF voltage, and the sheath close to the dc electrode becomes particularly thin. Consequently, the amplitude of the high-frequency sheath oscillations at the top electrode is largely enhanced, while the LF sheath at the bottom electrode is in its expanding phase and can thus well confine the high-energy electrons. Therefore, the ionization rate increases considerably in this second LF half-period. Furthermore, in addition to the comparison between SF and DF discharges and the effect of gap length and dc voltage, the effect of secondary electrons is examined.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000317275400016 Publication Date 2013-03-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 9 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:106877 Serial 1413
Permanent link to this record
 

 
Author Tinck, S.; De Schepper, P.; Bogaerts, A.
Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
Year 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 10 Issue 8 Pages 714-730
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000327790000006 Publication Date 2013-05-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 3 Open Access
Notes Approved Most recent IF: 2.846; 2013 IF: 2.964
Call Number UA @ lucian @ c:irua:109900 Serial 2397
Permanent link to this record
 

 
Author Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A.
Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 11 Issue 1 Pages 52-62
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos (up) 000330588800006 Publication Date 2013-11-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 1 Open Access
Notes Approved Most recent IF: 2.846; 2014 IF: 2.453
Call Number UA @ lucian @ c:irua:115735 Serial 1256
Permanent link to this record
 

 
Author Saeed, A.; Khan, A.W.; Shafiq, M.; Jan, F.; Abrar, M.; Zaka-ul-Islam, M.; Zakaullah, M.
Title Investigation of 50 Hz pulsed DC nitrogen plasma with active screen cage by trace rare gas optical emission spectroscopy Type A1 Journal article
Year 2014 Publication Plasma science & technology Abbreviated Journal Plasma Sci Technol
Volume 16 Issue 4 Pages 324-328
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Optical emission spectroscopy is used to investigate the nitrogen-hydrogen with trace rare gas (4% Ar) plasma generated by 50 Hz pulsed DC discharges. The filling pressure varies from 1 mbar to 5 mbar and the current density ranges from 1 mA.cm(-2) to 4 mA.cm(-2). The hydrogen concentration in the mixture plasma varies from 0% to 80%, with the objective of identifying the optimum pressure, current density and hydrogen concentration for active species ([N] and [N-2]) generation. It is observed that in an N-2-H-2 gas mixture, the concentration of N atom density decreases with filling pressure and increases with current density, with other parameters of the discharge kept unchanged. The maximum concentrations of active species were found for 40% H-2 in the mixture at 3 mbar pressure and current density of 4 mA.cm(-2).
Address
Corporate Author Thesis
Publisher Institute of Plasma Physics, the Chinese Academy of Sciences Place of Publication Beijing Editor
Language Wos (up) 000335909600005 Publication Date 2014-04-29
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1009-0630; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 0.83 Times cited 5 Open Access
Notes Approved Most recent IF: 0.83; 2014 IF: 0.579
Call Number UA @ lucian @ c:irua:117686 Serial 1728
Permanent link to this record
 

 
Author Van Gaens, W.; Bogaerts, A.
Title Reaction pathways of biomedically active species in an Ar plasma jet Type A1 Journal article
Year 2014 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 23 Issue 3 Pages 035015-35027
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper we analyse the gas phase production and loss pathways for several biomedically active species, i.e. N2(A), O, O3, O2(a), N, H, HO2, OH, NO, NO2, N2O5, H2O2, HNO2 and HNO3, in an argon plasma jet flowing into an open humid air atmosphere. For this purpose, we employ a zero-dimensional reaction kinetics model to mimic the typical experimental conditions by fitting several parameters to experimentally measured values. These include ambient air diffusion, the gas temperature profile and power deposition along the jet effluent. We focus in detail on how the pathways of the biomedically active species change as a function of the position in the effluent, i.e. inside the discharge device, active plasma jet effluent and afterglow region far from the nozzle. Moreover, we demonstrate how the reaction kinetics and species production are affected by different ambient air humidities, total deposited power into the plasma and gas temperature along the jet. It is shown that the dominant pathways can drastically change as a function of the distance from the nozzle exit or experimental conditions.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos (up) 000337891900017 Publication Date 2014-05-22
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 34 Open Access
Notes Approved Most recent IF: 3.302; 2014 IF: 3.591
Call Number UA @ lucian @ c:irua:117075 Serial 2820
Permanent link to this record