toggle visibility
Search within Results:
Display Options:
Number of records found: 34

Select All    Deselect All
 | 
Citations
 | 
   print
Redox layer deposition of thin films of MnO2 on nanostructured substrates from aqueous solutions”. Zankowski SP, Van Hoecke L, Mattelaer F, de Raedt M, Richard O, Detavernier C, Vereecken PM, Chemistry of materials 31, 4805 (2019). http://doi.org/10.1021/ACS.CHEMMATER.9B01219
toggle visibility
Plasma-enhanced atomic layer deposition of silver using Ag(fod)(PEt3) and NH3-plasma”. Minjauw MM, Solano E, Sree SP, Asapu R, Van Daele M, Ramachandran RK, Heremans G, Verbruggen SW, Lenaerts S, Martens JA, Detavernier C, Dendooven J, Chemistry of materials 29, 7114 (2017). http://doi.org/10.1021/ACS.CHEMMATER.7B00690
toggle visibility
Phase formation in intermixed NiGe thin films : influence of Ge content and low-temperature nucleation of hexagonal nickel germanides”. De Schutter B, Devulder W, Schrauwen A, van Stiphout K, Perkisas T, Bals S, Vantomme A, Detavernier C, Microelectronic engineering 120, 168 (2014). http://doi.org/10.1016/j.mee.2013.09.004
toggle visibility
Ovonic threshold-switching GexSey chalcogenide materials : stoichiometry, trap nature, and material relaxation from first principles”. Clima S, Garbin D, Opsomer K, Avasarala NS, Devulder W, Shlyakhov I, Keukelier J, Donadio GL, Witters T, Kundu S, Govoreanu B, Goux L, Detavernier C, Afanas'ev V, Kar GS, Pourtois G, Physica Status Solidi-Rapid Research Letters , 1900672 (2020). http://doi.org/10.1002/PSSR.201900672
toggle visibility
Select All    Deselect All
 | 
Citations
 | 
   print

Save Citations:
Export Records: