|   | 
Details
   web
Records
Author Teodoru, S.; Kusano, Y.; Bogaerts, A.
Title The effect of O2 in a humid O2/N2/NOx gas mixture on NOx and N2O remediation by an atmospheric pressure dielectric barrier discharge Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 7 Pages 652-689
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A numerical model for NxOy remediation in humid air plasma produced with a dielectric barrier discharge at atmospheric pressure is presented. Special emphasis is given to NO2 and N2O reduction with the decrease of O2 content in the feedstock gas. A detailed reaction mechanism including electronic and ionic processes, as well as the contribution of radicals and excited atomic/molecular species is proposed. The temporal evolution of the densities of NO, NO2 and N2O species, and some other by-products, is analyzed, and the major pathways for the NxOy remediation are discussed for one pulse. Subsequently, simulations are presented for a multi-pulses case, where three O2 contents are tested for optimization of the remediation process. It is found that when the gas mixture O2/N2/H2O/NOx has no initial O2 content, the best NOx and N2O remediation is achieved.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000306279500005 Publication Date 2012-03-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 24 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:100920 Serial 842
Permanent link to this record
 

 
Author Yu, M.Y.; Yu, W.; Chen, Z.Y.; Zhang, J.; Yin, Y.; Cao, L.H.; Lu, P.X.; Xu, Z.Z.
Title Electron acceleration by an intense short-pulse laser in underdense plasma Type A1 Journal article
Year 2003 Publication Physics of plasmas Abbreviated Journal Phys Plasmas
Volume 10 Issue 6 Pages 2468-2474
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Electron acceleration from the interaction of an intense short-pulse laser with low density plasma is considered. The relation between direct electron acceleration within the laser pulse and that in the wake is investigated analytically. The magnitude and location of the ponderomotive-force-caused charge separation field with respect to that of the pulse determine the relative effectiveness of the two acceleration mechanisms. It is shown that there is an optimum condition for acceleration in the wake. Electron acceleration within the pulse dominates as the pulse becomes sufficiently short, and the latter directly drives and even traps the electrons. The latter can reach ultrahigh energies and can be extracted by impinging the pulse on a solid target. (C) 2003 American Institute of Physics.
Address
Corporate Author Thesis
Publisher Place of Publication Woodbury, N.Y. Editor
Language Wos 000183316500031 Publication Date 2003-05-22
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1070-664X; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.115 Times cited 41 Open Access
Notes Approved Most recent IF: 2.115; 2003 IF: 2.146
Call Number UA @ lucian @ c:irua:103293 Serial 904
Permanent link to this record
 

 
Author Liu, Y.-X.; Zhang, Q.-Z.; Liu, L.; Song, Y.-H.; Bogaerts, A.; Wang, Y.-N.
Title Electron bounce resonance heating in dual-frequency capacitively coupled oxygen discharges Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 2 Pages 025012-11
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The electron bounce resonance heating (BRH) in dual-frequency capacitively coupled plasmas operated in oxygen is studied by different experimental methods and a particle-in-cell/Monte Carlo collision (PIC/MCC) simulation, and compared with the electropositive argon discharge. In comparison with argon, the experimental results show that in an oxygen discharge the resonance peaks in positive-ion density and light intensity tend to occur at larger electrode gaps. Moreover, at electrode gaps L > 2.5 cm, the positive-ion (and electron) density and the light emission drop monotonically in the oxygen discharge upon increasing L, whereas they rise (after an initial drop) in the argon case. At resonance gap the electronegativity reaches its maximum due to the BRH. All these experimental observations are explained by PIC/MCC simulations, which show that in the oxygen discharge the bulk electric field becomes quite strong and is out of phase with the sheath field. Therefore, it retards the resonance electrons when traversing the bulk, resulting in a suppressed BRH. Both experiment and simulation results show that this effect becomes more pronounced at lower high-frequency power, when the discharge mode changes from electropositive to electronegative. In a pure oxygen discharge, the BRH is suppressed with increasing pressure and almost diminishes at 12 Pa. Finally, the driving frequency significantly affects the BRH, because it determines the phase relation between bulk electric field and sheath electric field.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000317275400014 Publication Date 2013-03-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 20 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:106534 Serial 911
Permanent link to this record
 

 
Author Yan, M.; Bogaerts, A.; Goedheer, W.J.; Gijbels, R.
Title Electron energy distribution function in capacitively coupled RF discharges: differences between electropositive Ar and electronegative SiH4 discharges Type A1 Journal article
Year 2000 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 9 Issue Pages 583-591
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000165341000015 Publication Date 2002-08-25
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 21 Open Access
Notes Approved Most recent IF: 3.302; 2000 IF: 1.963
Call Number UA @ lucian @ c:irua:34071 Serial 929
Permanent link to this record
 

 
Author Vranjes, J.; Petrovic, D.; Pandey, B.P.; Poedts, S.
Title Electrostatic modes in multi-ion and pair-ion collisional plasmas Type A1 Journal article
Year 2008 Publication Physics of plasmas Abbreviated Journal Phys Plasmas
Volume 15 Issue 7 Pages 072104
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract The physics of plasmas containing positive and negative ions is discussed with special attention to the recently produced pair-ion plasma containing ions of equal mass and opposite charge. The effects of the density gradient in the direction perpendicular to the ambient magnetic field vector are discussed. The possible presence of electrons is discussed in the context of plasma modes propagating at an angle with respect to the magnetic field vector. It is shown that the electron plasma mode may become a backward mode in the presence of a density gradient, and this behavior may be controlled either by the electron number density or the mode number in the perpendicular direction. In plasmas with hot electrons an instability may develop, driven by the combination of electron collisions and the density gradient, and in the regime of a sound ions' response. In the case of a pure pair-ion plasma, for lower frequencies and for parameters close to those used in the recent experiments, the perturbed ions may feel the effects of the magnetic field. In this case the plasma mode also becomes backward, resembling features of an experimentally observed but yet unexplained backward mode. (C) 2008 American Institute of Physics.
Address
Corporate Author Thesis
Publisher Place of Publication Woodbury, N.Y. Editor
Language Wos 000258175800004 Publication Date 2008-07-31
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1070-664X; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.115 Times cited 54 Open Access
Notes Approved Most recent IF: 2.115; 2008 IF: 2.427
Call Number UA @ lucian @ c:irua:103554 Serial 1023
Permanent link to this record
 

 
Author Van Laer, K.; Tinck, S.; Samara, V.; de Marneffe, J.F.; Bogaerts, A.
Title Etching of low-k materials for microelectronics applications by means of a N2/H2 plasma : modeling and experimental investigation Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 2 Pages 025011-25019
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, we investigate the etch process of so-called low-k organic material by means of a N2/H2 capacitively coupled plasma, as applied in the micro-electronics industry for the manufacturing of computer chips. In recent years, such an organic material has emerged as a possible alternative for replacing bulk SiO2 as a dielectric material in the back-end-of-line, because of the smaller parasitic capacity between adjacent conducting lines, and thus a faster propagation of the electrical signals throughout the chip. Numerical simulations with a hybrid plasma model, using an extensive plasma and surface chemistry set, as well as experiments are performed, focusing on the plasma properties as well as the actual etching process, to obtain a better insight into the underlying mechanisms. Furthermore, the effects of gas pressure, applied power and gas composition are investigated to try to optimize the etch process. In general, the plasma density reaches a maximum near the wafer edge due to the so-called 'edge effect'. As a result, the etch rate is not uniform but will also reach its maximum near the wafer edge. The pressure seems not to have a big effect. A higher power increases the etch rate, but the uniformity becomes (slightly) worse. The gas mixing ratio has no significant effect on the etch process, except when a pure H2 or N2 plasma is used, illustrating the synergistic effects of a N2/H2 plasma. In fact, our calculations reveal that the N2/H2 plasma entails an ion-enhanced etch process. The simulation results are in reasonable agreement with the experimental values. The microscopic etch profile shows the desired anisotropic shape under all conditions under study.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000317275400013 Publication Date 2013-03-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 13 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:106654 Serial 1084
Permanent link to this record
 

 
Author Kozák, T.; Bogaerts, A.
Title Evaluation of the energy efficiency of CO2 conversion in microwave discharges using a reaction kinetics model Type A1 Journal article
Year 2015 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 24 Issue 24 Pages 015024
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract We use a zero-dimensional reaction kinetics model to simulate CO2 conversion in microwave discharges where the excitation of the vibrational levels plays a significant role in the dissociation kinetics. The model includes a description of the CO2 vibrational kinetics, taking into account state-specific VT and VV relaxation reactions and the effect of vibrational excitation on other chemical reactions. The model is used to simulate a general tubular microwave reactor, where a stream of CO2 flows through a plasma column generated by microwave radiation. We study the effects of the internal plasma parameters, namely the reduced electric field, electron density and the total specific energy input, on the CO2 conversion and its energy efficiency. We report the highest energy efficiency (up to 30%) for a specific energy input in the range 0.41.0 eV/molecule and a reduced electric field in the range 50100 Td and for high values of the electron density (an ionization degree greater than 10−5). The energy efficiency is mainly limited by the VT relaxation which contributes dominantly to the vibrational energy losses and also contributes significantly to the heating of the reacting gas. The model analysis provides useful insight into the potential and limitations of CO2 conversion in microwave discharges.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000348298200025 Publication Date 2014-12-23
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 100 Open Access
Notes Approved Most recent IF: 3.302; 2015 IF: 3.591
Call Number c:irua:122243 Serial 1087
Permanent link to this record
 

 
Author Yan, M.; Bogaerts, A.; Gijbels, R.
Title Evolution of charged particle densities after laser-induced photodetachment in a strongly electronegative RF discharge Type A1 Journal article
Year 2002 Publication IEEE transactions on plasma science Abbreviated Journal Ieee T Plasma Sci
Volume 30 Issue 1 Pages 132-133
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication New York, N.Y. Editor
Language Wos 000175845900065 Publication Date 2002-11-07
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0093-3813; ISBN (down) Additional Links UA library record; WoS full record
Impact Factor 1.052 Times cited Open Access
Notes Approved Most recent IF: 1.052; 2002 IF: 1.170
Call Number UA @ lucian @ c:irua:40186 Serial 1097
Permanent link to this record
 

 
Author De Bie, C.; Verheyde, B.; Martens, T.; van Dijk, J.; Paulussen, S.; Bogaerts, A.
Title Fluid modeling of the conversion of methane into higher hydrocarbons in an atmospheric pressure dielectric barrier discharge Type A1 Journal article
Year 2011 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 8 Issue 11 Pages 1033-1058
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A one-dimensional fluid model for a dielectric barrier discharge in methane, used as a chemical reactor for gas conversion, is developed. The model describes the gas phase chemistry governing the conversion process of methane to higher hydrocarbons. The spatially averaged densities of the various plasma species as a function of time are discussed. Besides, the conversion of methane and the yields of the reaction products as a function of the residence time in the reactor are shown and compared with experimental data. Higher hydrocarbons (C2Hy and C3Hy) and hydrogen gas are typically found to be important reaction products. Furthermore, the main underlying reaction pathways are determined.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000297745500005 Publication Date 2011-07-11
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 70 Open Access
Notes Approved Most recent IF: 2.846; 2011 IF: 2.468
Call Number UA @ lucian @ c:irua:92443 Serial 1227
Permanent link to this record
 

 
Author Si, X.-J.; Zhao, S.-X.; Xu, X.; Bogaerts, A.; Wang, Y.-N.
Title Fluid simulations of frequency effects on nonlinear harmonics in inductively coupled plasma Type A1 Journal article
Year 2011 Publication Physics of plasmas Abbreviated Journal Phys Plasmas
Volume 18 Issue 3 Pages 033504-033504,9
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A fluid model is self-consistently established to investigate the harmonic effects in an inductively coupled plasma, where the electromagnetic field is solved by the finite difference time domain technique. The spatiotemporal distribution of harmonic current density, harmonic potential, and other plasma quantities, such as radio frequency power deposition, plasma density, and electron temperature, have been investigated. Distinct differences in current density have been observed when calculated with and without Lorentz force, which indicates that the nonlinear Lorentz force plays an important role in the harmonic effects, especially at low frequencies. Moreover, the even harmonics are larger than the odd harmonics both in the current density and the potential. Finally, the dependence of various plasma quantities with and without the Lorentz force on various driving frequencies is also examined. It is shown that the deposited power density decreases and the depth of penetration increases slightly because of the Lorentz force. The electron density increases distinctly while the electron temperature remains almost the same when the Lorentz force is taken into account.
Address
Corporate Author Thesis
Publisher Place of Publication Woodbury, N.Y. Editor
Language Wos 000289151900073 Publication Date 2011-03-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1070-664X; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.115 Times cited 7 Open Access
Notes Approved Most recent IF: 2.115; 2011 IF: 2.147
Call Number UA @ lucian @ c:irua:87876 Serial 1233
Permanent link to this record
 

 
Author Tinck, S.; Altamirano-Sánchez, E.; De Schepper, P.; Bogaerts, A.
Title Formation of a nanoscale SiO2 capping layer on photoresist lines with an Ar/SiCl4/O2 inductively coupled plasma : a modeling investigation Type A1 Journal article
Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 11 Issue 1 Pages 52-62
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract PECVD of a nanoscale SiO2 capping layer using low pressure SiCl4/O-2/Ar plasmas is numerically investigated. The purpose of this capping layer is to restore photoresist profiles with improved line edge roughness. A 2D plasma and Monte Carlo feature profile model are applied for this purpose. The deposited films are calculated for various operating conditions to obtain a layer with desired shape. An increase in pressure results in more isotropic deposition with a higher deposition rate, while a higher power creates a more anisotropic process. Dilution of the gas mixture with Ar does not result in an identical capping layer shape with a thickness linearly correlated to the dilution. Finally, a substrate bias seems to allow proper control of the vertical deposition rate versus sidewall deposition as desired.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000330588800006 Publication Date 2013-11-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 1 Open Access
Notes Approved Most recent IF: 2.846; 2014 IF: 2.453
Call Number UA @ lucian @ c:irua:115735 Serial 1256
Permanent link to this record
 

 
Author Zhao, S.-X.; Gao, F.; Wang, Y.-N.; Bogaerts, A.
Title Gas ratio effects on the Si etch rate and profile uniformity in an inductively coupled Ar/CF4 plasma Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 1 Pages 015017-15018
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this work, a hybrid model is used to investigate the effect of different gas ratios on the Si etching and polymer film deposition characteristics in an Ar/CF4 inductively coupled plasma. The influence of the surface processes on the bulk plasma properties is studied, and also the spatial characteristics of important gas phase and etched species. The densities of F and CF2 decrease when the surface module is included in the simulations, due to the species consumption caused by etching and polymer deposition. The influence of the surface processes on the bulk plasma depends on the Ar/CF4 gas ratio. The deposited polymer becomes thicker at high CF4 content because of more abundant CFx radicals. As a result of the competition between the polymer thickness and the F flux, the etch rate first increases and then decreases upon increasing the CF4 content. The electron properties, more specifically the electron density profile, affect the Si etch characteristics substantially by determining the radical density and flux profiles. In fact, the radial profile of the etch rate is more uniform at low CF4 content since the electron density has a smooth distribution. At high CF4 content, the etch rate is less uniform with a minimum halfway along the wafer radius, because the electron density distribution is more localized. Therefore, our calculations predict that it is better to work at relatively high Ar/CF4 gas ratios, in order to obtain high etch rate and good profile uniformity for etch applications. This, in fact, corresponds to the typical experimental etch conditions in Ar/CF4 gas mixtures as found in the literature, where Ar is typically present at a much higher concentration than CF4.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000314966300022 Publication Date 2012-12-20
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 11 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:102583 Serial 1320
Permanent link to this record
 

 
Author Zhang, Q.-Z.; Liu, Y.-X.; Jiang, W.; Bogaerts, A.; Wang, Y.-N.
Title Heating mechanism in direct current superposed single-frequency and dual-frequency capacitively coupled plasmas Type A1 Journal article
Year 2013 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 22 Issue 2 Pages 025014-25018
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this work particle-in-cell/Monte Carlo collision simulations are performed to study the heating mechanism and plasma characteristics in direct current (dc) superposed radio-frequency (RF) capacitively coupled plasmas, operated both in single-frequency (SF) and dual-frequency (DF) regimes. An RF (60/2 MHz) source is applied on the bottom electrode to sustain the discharge, and a dc source is fixed on the top electrode. The heating mechanism appears to be very different in dc superposed SF and DF discharges. When only a single source of 60 MHz is applied, the plasma bulk region is reduced by the dc source, thus the ionization rate and hence the electron density decrease with rising dc voltage. However, when a DF source of 60 and 2 MHz is applied, the electron density can increase upon addition of a dc voltage, depending on the gap length and applied dc voltage. This is explained from the spatiotemporal ionization rates in the DF discharge. In fact, a completely different behavior is observed for the ionization rate in the two half-periods of the LF source. In the first LF half-period, the situation resembles the dc superposed SF discharge, and the reduced plasma bulk region due to the negative dc bias results in a very small effective discharge area and a low ionization rate. On the other hand, in the second half-period, the negative dc bias is to some extent counteracted by the LF voltage, and the sheath close to the dc electrode becomes particularly thin. Consequently, the amplitude of the high-frequency sheath oscillations at the top electrode is largely enhanced, while the LF sheath at the bottom electrode is in its expanding phase and can thus well confine the high-energy electrons. Therefore, the ionization rate increases considerably in this second LF half-period. Furthermore, in addition to the comparison between SF and DF discharges and the effect of gap length and dc voltage, the effect of secondary electrons is examined.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000317275400016 Publication Date 2013-03-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 9 Open Access
Notes Approved Most recent IF: 3.302; 2013 IF: 3.056
Call Number UA @ lucian @ c:irua:106877 Serial 1413
Permanent link to this record
 

 
Author Bogaerts, A.; Okhrimovskyy, A.; Baguer, N.; Gijbels, R.
Title Hollow cathode discharges with gas flow: numerical modelling for the effect on the sputtered atoms and the deposition flux Type A1 Journal article
Year 2005 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 14 Issue Pages 191-200
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000227652500021 Publication Date 2005-02-05
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 9 Open Access
Notes Approved Most recent IF: 3.302; 2005 IF: 1.798
Call Number UA @ lucian @ c:irua:50478 Serial 1480
Permanent link to this record
 

 
Author Aerts, R.; Snoeckx, R.; Bogaerts, A.
Title In-situ chemical trapping of oxygen in the splitting of carbon dioxide by plasma Type A1 Journal article
Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 11 Issue 10 Pages 985-992
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000344180900008 Publication Date 2014-08-18
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 29 Open Access
Notes Approved Most recent IF: 2.846; 2014 IF: 2.453
Call Number UA @ lucian @ c:irua:118302 Serial 1575
Permanent link to this record
 

 
Author Yusupov, M.; Neyts, E.C.; Verlackt, C.C.; Khalilov, U.; van Duin, A.C.T.; Bogaerts, A.
Title Inactivation of the endotoxic biomolecule lipid A by oxygen plasma species : a reactive molecular dynamics study Type A1 Journal article
Year 2015 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 12 Issue 12 Pages 162-171
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Reactive molecular dynamics simulations are performed to study the interaction of reactive oxygen species, such as OH, HO2 and H2O2, with the endotoxic biomolecule lipid A of the gram-negative bacterium Escherichia coli. It is found that the aforementioned plasma species can destroy the lipid A, which consequently results in reducing its toxic activity. All bond dissociation events are initiated by hydrogen-abstraction reactions. However, the mechanisms behind these dissociations are dependent on the impinging plasma species, i.e. a clear difference is observed in the mechanisms upon impact of HO2 radicals and H2O2 molecules on one hand and OH radicals on the other hand. Our simulation results are in good agreement with experimental observations.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000350275400005 Publication Date 2014-09-17
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 18 Open Access
Notes Approved Most recent IF: 2.846; 2015 IF: 2.453
Call Number c:irua:123540 Serial 1589
Permanent link to this record
 

 
Author Somers, W.; Dubreuil, M.F.; Neyts, E.C.; Vangeneugden, D.; Bogaerts, A.
Title Incorporation of fluorescent dyes in atmospheric pressure plasma coatings for in-line monitoring of coating homogeneity Type A1 Journal article
Year 2014 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 11 Issue 7 Pages 678-684
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract This paper reports on the incorporation of three commercial fluorescent dyes, i.e., rhodamine 6G, fluorescein, and fluorescent brightener 184, in plasma coatings, by utilizing a dielectric barrier discharge (DBD) reactor, and the subsequent monitoring of the coatings homogeneity based on the emitted fluorescent light. The plasma coatings are qualitatively characterized with fluorescence microscopy, UVvis spectroscopy and profilometry for the determination of the coating thickness. The emitted fluorescent light of the coating correlates to the amount of dye per area, and deviations of these factors can hence be observed by monitoring the intensity of this light. This allows monitoring the homogeneity of the plasma coatings in a fast and simple way, without making major adjustments to the process.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000340416300007 Publication Date 2014-05-03
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 3 Open Access
Notes Approved Most recent IF: 2.846; 2014 IF: 2.453
Call Number UA @ lucian @ c:irua:118063 Serial 1598
Permanent link to this record
 

 
Author Saraiva, M.; Chen, H.; Leroy, W.P.; Mahieu, S.; Jehanathan, N.; Lebedev, O.; Georgieva, V.; Persoons, R.; Depla, D.
Title Influence of Al content on the properties of MgO grown by reactive magnetron sputtering Type A1 Journal article
Year 2009 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 6 Issue S:1 Pages S751-S754
Keywords A1 Journal article; Electron microscopy for materials research (EMAT); Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In the present work, reactive magnetron sputtering in DC mode was used to grow complex oxide thin films, starting from two separate pure metal targets. A series of coatings was produced with a stoichiometry of the film ranging from MgO, over MgxAlyOz to Al2O3. The surface energy, crystallinity, hardness, refractive index, and surface roughness were investigated. A relationship between all properties studied and the Mg content of the samples was found. A critical compositional region for the Mg-Al-O system where all properties exhibit a change was noticed.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000272302900144 Publication Date 2009-09-08
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 13 Open Access
Notes Iwt Approved Most recent IF: 2.846; 2009 IF: 4.037
Call Number UA @ lucian @ c:irua:79363 Serial 1613
Permanent link to this record
 

 
Author Aerts, R.; Tu, X.; De Bie, C.; Whitehead, J.C.; Bogaerts, A.
Title An investigation into the dominant reactions for ethylene destruction in non-thermal atmospheric plasmas Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 10 Pages 994-1000
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract A crucial step, which is still not well understood in the destruction of volatile organic compounds (VOCs) with low temperature plasmas, is the initiation of the process. Here, we present a kinetic model for the destruction of ethylene in low temperature plasmas that allows us to calculate the relative importance of all plasma species and their related reactions. Modifying the ethylene concentration and/or the SED had a major impact on the relative importance of the radicals (i.e., mainly atomic oxygen) and the metastable nitrogen (i.e., more specifically N2(equation image)) in the destruction process. Our results show that the direct destruction by electron impact reactions for ethylene can be neglected; however, we can certainly not neglect the influence of N2(equation image)).
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000309750300008 Publication Date 2012-07-30
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 46 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:101765 Serial 1727
Permanent link to this record
 

 
Author Saeed, A.; Khan, A.W.; Shafiq, M.; Jan, F.; Abrar, M.; Zaka-ul-Islam, M.; Zakaullah, M.
Title Investigation of 50 Hz pulsed DC nitrogen plasma with active screen cage by trace rare gas optical emission spectroscopy Type A1 Journal article
Year 2014 Publication Plasma science & technology Abbreviated Journal Plasma Sci Technol
Volume 16 Issue 4 Pages 324-328
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Optical emission spectroscopy is used to investigate the nitrogen-hydrogen with trace rare gas (4% Ar) plasma generated by 50 Hz pulsed DC discharges. The filling pressure varies from 1 mbar to 5 mbar and the current density ranges from 1 mA.cm(-2) to 4 mA.cm(-2). The hydrogen concentration in the mixture plasma varies from 0% to 80%, with the objective of identifying the optimum pressure, current density and hydrogen concentration for active species ([N] and [N-2]) generation. It is observed that in an N-2-H-2 gas mixture, the concentration of N atom density decreases with filling pressure and increases with current density, with other parameters of the discharge kept unchanged. The maximum concentrations of active species were found for 40% H-2 in the mixture at 3 mbar pressure and current density of 4 mA.cm(-2).
Address
Corporate Author Thesis
Publisher Institute of Plasma Physics, the Chinese Academy of Sciences Place of Publication Beijing Editor
Language Wos 000335909600005 Publication Date 2014-04-29
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1009-0630; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 0.83 Times cited 5 Open Access
Notes Approved Most recent IF: 0.83; 2014 IF: 0.579
Call Number UA @ lucian @ c:irua:117686 Serial 1728
Permanent link to this record
 

 
Author de Bleecker, K.; Bogaerts, A.; Goedheer, W.; Gijbels, R.
Title Investigation of growth mechanisms of clusters in a silane discharge with the use of a fluid model Type A1 Journal article
Year 2004 Publication IEEE transactions on plasma science Abbreviated Journal Ieee T Plasma Sci
Volume 32 Issue 2 Pages 691-698
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication New York, N.Y. Editor
Language Wos 000222278400026 Publication Date 2004-06-30
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0093-3813; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 1.052 Times cited 29 Open Access
Notes Approved Most recent IF: 1.052; 2004 IF: 1.042
Call Number UA @ lucian @ c:irua:46379 Serial 1732
Permanent link to this record
 

 
Author Bogaerts, A.; Gijbels, R.
Title The ion- and atom-induced secondary electron emission yield: numerical study for the effect of clean and dirty cathode surfaces Type A1 Journal article
Year 2002 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 11 Issue Pages 27-36
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000174336300003 Publication Date 2002-08-25
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 51 Open Access
Notes Approved Most recent IF: 3.302; 2002 IF: 1.816
Call Number UA @ lucian @ c:irua:40184 Serial 1739
Permanent link to this record
 

 
Author Kong, M.; Ferreira, W.P.; Partoens, B.; Peeters, F.M.
Title Magnetic field dependence of the normal mode spectrum of a planar complex plasma cluster Type A1 Journal article
Year 2004 Publication IEEE transactions on plasma science Abbreviated Journal Ieee T Plasma Sci
Volume 32 Issue 2,2 Pages 569-572
Keywords A1 Journal article; Condensed Matter Theory (CMT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication New York, N.Y. Editor
Language Wos 000222278400007 Publication Date 2004-06-30
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0093-3813; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 1.052 Times cited 4 Open Access
Notes Approved Most recent IF: 1.052; 2004 IF: 1.042
Call Number UA @ lucian @ c:irua:62453 Serial 1871
Permanent link to this record
 

 
Author Vandenbroucke, A.M.; Aerts, R.; Van Gaens, W.; De Geyter, N.; Leys, C.; Morent, R.; Bogaerts, A.
Title Modeling and experimental study of trichloroethylene abatement with a negative direct current corona discharge Type A1 Journal article
Year 2015 Publication Plasma chemistry and plasma processing Abbreviated Journal Plasma Chem Plasma P
Volume 35 Issue 35 Pages 217-230
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this work, we study the abatement of dilute trichloroethylene (TCE) in air with a negative direct current corona discharge. A numerical model is used to theoretically investigate the underlying plasma chemistry for the removal of TCE, and a reaction pathway for the abatement of TCE is proposed. The Cl atom, mainly produced by dissociation of COCl, is one of the controlling species in the TCE destruction chemistry and contributes to the production of chlorine containing by-products. The effect of humidity on the removal efficiency is studied and a good agreement is found between experiments and the model for both dry (5 % relative humidity (RH)) and humid air (50 % RH). An increase of the relative humidity from 5 % to 50 % has a negative effect on the removal efficiency, decreasing by ±15 % in humid air. The main loss reactions for TCE are with ClO·, O· and CHCl2. Finally, the by-products and energy cost of TCE abatement are discussed.
Address
Corporate Author Thesis
Publisher Place of Publication New York Editor
Language Wos 000347285800014 Publication Date 2014-09-10
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0272-4324;1572-8986; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.355 Times cited 9 Open Access
Notes Approved Most recent IF: 2.355; 2015 IF: 2.056
Call Number c:irua:118882 Serial 2108
Permanent link to this record
 

 
Author Tinck, S.; Boullart, W.; Bogaerts, A.
Title Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching : effects of SiO2 chamber wall coating Type A1 Journal article
Year 2011 Publication Plasma sources science and technology Abbreviated Journal Plasma Sources Sci T
Volume 20 Issue 4 Pages 045012-045012,19
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.
Address
Corporate Author Thesis
Publisher Institute of Physics Place of Publication Bristol Editor
Language Wos 000295829800014 Publication Date 2011-06-14
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0963-0252;1361-6595; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 3.302 Times cited 22 Open Access
Notes Approved Most recent IF: 3.302; 2011 IF: 2.521
Call Number UA @ lucian @ c:irua:91045 Serial 2141
Permanent link to this record
 

 
Author Tinck, S.; Bogaerts, A.
Title Modeling SiH4/O2/Ar inductively coupled plasmas used for filling of microtrenches in shallow trench isolation (STI) Type A1 Journal article
Year 2012 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 9 Issue 5 Pages 522-539
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Modeling results are presented to gain a better insight in the properties of a SiH4/O2/Ar inductively coupled plasma (ICP) and how it interacts with a silicon substrate (wafer), as applied in the microelectronics industry for the fabrication of electronic devices. The SiH4/O2/Ar ICP is used for the filling of microtrenches with isolating material (SiO2), as applied in shallow trench isolation (STI). In this article, a detailed reaction set that describes the plasma chemistry of SiH4/O2/Ar discharges as well as surface processes, such as sputtering, oxidation, and deposition, is presented. Results are presented on the plasma properties during the plasma enhanced chemical vapor deposition process (PECVD) for different gas ratios, as well as on the shape of the filled trenches and the surface compositions of the deposited layers. For the operating conditions under study it is found that the most important species accounting for deposition are SiH2, SiH3O, SiH3 and SiH2O, while SiH+2, SiH+3, O+2 and Ar+ are the dominant species for sputtering of the surface. By diluting the precursor gas (SiH4) in the mixture, the deposition rate versus sputtering rate can be controlled for a desired trench filling process. From the calculation results it is clear that a high deposition rate will result in undesired void formation during the trench filling, while a small deposition rate will result in undesired trench bottom and mask damage by sputtering. By varying the SiH4/O2 ratio, the chemical composition of the deposited layer will be influenced. However, even at the highest SiH4/O2 ratio investigated (i.e., 3.2:1; low oxygen content), the bulk deposited layer consists mainly of SiO2, suggesting that low-volatile silane species deposit first and subsequently become oxidized instead of being oxidized first in the plasma before deposition. Finally, it was found that the top surface of the deposited layer contained less oxygen due to preferential sputtering of O atoms, making the top layer more Si-rich. However, this effect is negligible at a SiH4/O2 ratio of 2:1 or lower.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000303858100010 Publication Date 2012-03-06
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 5 Open Access
Notes Approved Most recent IF: 2.846; 2012 IF: 3.730
Call Number UA @ lucian @ c:irua:99127 Serial 2142
Permanent link to this record
 

 
Author Bogaerts, A.; Gijbels, R.
Title Monte Carlo model for the argon ions and fast argon atoms in a radio-frequency discharge Type A1 Journal article
Year 1999 Publication IEEE transactions on plasma science Abbreviated Journal Ieee T Plasma Sci
Volume 27 Issue 5 Pages 1406-1415
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication New York, N.Y. Editor
Language Wos 000083453000023 Publication Date 2002-08-24
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0093-3813; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 1.052 Times cited 15 Open Access
Notes Approved Most recent IF: 1.052; 1999 IF: 1.085
Call Number UA @ lucian @ c:irua:28321 Serial 2197
Permanent link to this record
 

 
Author Tinck, S.; De Schepper, P.; Bogaerts, A.
Title Numerical investigation of SiO2 coating deposition in wafer processing reactors with SiCl4/O2/Ar inductively coupled plasmas Type A1 Journal article
Year 2013 Publication Plasma processes and polymers Abbreviated Journal Plasma Process Polym
Volume 10 Issue 8 Pages 714-730
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract Simulations and experiments are performed to obtain a better insight in the plasma enhanced chemical vapor deposition process of SiO2 by SiCl4/O2/Ar plasmas for introducing a SiO2-like coating in wafer processing reactors. Reaction sets describing the plasma and surface chemistry of the SiCl4/O2/Ar mixture are presented. Typical calculation results include the bulk plasma characteristics, i.e., electrical properties, species densities, and information on important production and loss processes, as well as the chemical composition of the deposited coating, and the thickness uniformity of the film on all reactor surfaces. The film deposition characteristics, and the trends for varying discharge conditions, are explained based on the plasma behavior, as calculated by the model.
Address
Corporate Author Thesis
Publisher Place of Publication Weinheim Editor
Language Wos 000327790000006 Publication Date 2013-05-28
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 1612-8850; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.846 Times cited 3 Open Access
Notes Approved Most recent IF: 2.846; 2013 IF: 2.964
Call Number UA @ lucian @ c:irua:109900 Serial 2397
Permanent link to this record
 

 
Author Neyts, E.; Eckert, M.; Mao, M.; Bogaerts, A.
Title Numerical simulation of hydrocarbon plasmas for nanoparticle formation and the growth of nanostructured thin films Type A1 Journal article
Year 2009 Publication Plasma physics and controlled fusion Abbreviated Journal Plasma Phys Contr F
Volume 51 Issue Pages 124034,1-124034,8
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract This paper outlines two different numerical simulation approaches, carried out by our group, used for describing hydrocarbon plasmas in their applications for either nanoparticle formation in the plasma or the growth of nanostructured thin films, such as nanocrystalline diamond (NCD). A plasma model based on the fluid approach is utilized to study the initial mechanisms giving rise to nanoparticle formation in an acetylene plasma. The growth of NCD is investigated by molecular dynamics simulations, describing the interaction of the hydrocarbon species with a substrate.
Address
Corporate Author Thesis
Publisher Place of Publication Oxford Editor
Language Wos 000271940800045 Publication Date 2009-11-12
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0741-3335;1361-6587; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 2.392 Times cited 2 Open Access
Notes Approved Most recent IF: 2.392; 2009 IF: 2.409
Call Number UA @ lucian @ c:irua:79132 Serial 2405
Permanent link to this record
 

 
Author Herrebout, D.; Bogaerts, A.; Gijbels, R.; Goedheer, W.J.; Vanhulsel, A.
Title A one-dimensional fluid model for an acetylene rf discharge: a study of the plasma chemistry Type A1 Journal article
Year 2003 Publication IEEE transactions on plasma science Abbreviated Journal Ieee T Plasma Sci
Volume 31 Issue Pages 659-664
Keywords A1 Journal article; Plasma Lab for Applications in Sustainability and Medicine – Antwerp (PLASMANT)
Abstract
Address
Corporate Author Thesis
Publisher Place of Publication New York, N.Y. Editor
Language Wos 000184833400022 Publication Date 2003-08-21
Series Editor Series Title Abbreviated Series Title
Series Volume Series Issue Edition
ISSN 0093-3813; ISBN (down) Additional Links UA library record; WoS full record; WoS citing articles
Impact Factor 1.052 Times cited 26 Open Access
Notes Approved Most recent IF: 1.052; 2003 IF: 0.840
Call Number UA @ lucian @ c:irua:44021 Serial 2462
Permanent link to this record